Index: CADR4/AMEM.v ================================================================== --- CADR4/AMEM.v +++ CADR4/AMEM.v @@ -35,12 +35,32 @@ localparam DATA_WIDTH = 32; localparam MEM_DEPTH = 1024; //////////////////////////////////////////////////////////////////////////////// -`ifdef SIMULATION - reg [31:0] ram [0:1023]; +`ifdef ISE + wire ena_a = arp | 1'b0; + wire ena_b = 1'b0 | awp; + + + ise_AMEM inst + ( + .clka(clk), + .ena(ena_a), + .wea(1'b0), + .addra(aadr), + .dina(32'b0), + .douta(amem), + .clkb(clk), + .enb(ena_b), + .web(awp), + .addrb(aadr), + .dinb(l), + .doutb() + /*AUTOINST*/); +`elsif SIMULATION + reg [31:0] ram [0:1023]; reg [31:0] out_a; reg [31:0] out_b; assign amem = out_a; @@ -63,32 +83,29 @@ out_b <= 0; else if (1'b0) begin out_b <= ram[aadr]; end `else - wire ena_a = arp | 1'b0; - wire ena_b = 1'b0 | awp; - - ise_AMEM inst - ( - .clka(clk), - .ena(ena_a), - .wea(1'b0), - .addra(aadr), - .dina(32'b0), - .douta(amem), - .clkb(clk), - .enb(ena_b), - .web(awp), - .addrb(aadr), - .dinb(l), - .doutb() - /*AUTOINST*/); + reg [31:0] ram [0:1023]; + reg [31:0] out_a; + + assign amem = out_a; + + always @(posedge clk) + if (awp) begin + ram[aadr] <= l; + end + + always @(posedge clk) begin + if (arp) begin + out_a <= ram[aadr]; + end + end `endif endmodule `default_nettype wire // Local Variables: // verilog-library-directories: (".." "../cores/xilinx") // End: Index: CADR4/DRAM.v ================================================================== --- CADR4/DRAM.v +++ CADR4/DRAM.v @@ -54,12 +54,31 @@ assign daddr0 = (ir[8] & vmo[18]) | (ir[9] & vmo[19]) | (dmask[0] & r[0]) | (ir[12]); assign dadr = {ir[22:13], daddr0} | ({4'b0000, dmask[6:1], 1'b0} & {4'b0000, r[6:1], 1'b0}); assign dwe = dispwr & state_write; -`ifdef SIMULATION - reg [16:0] ram [0:2047]; +`ifdef ISE + wire ena_a = ~state_prefetch && ~dwe | 1'b0; + wire ena_b = 1'b0 | dwe; + + ise_DRAM inst + ( + .clka(clk), + .ena(ena_a), + .wea(1'b0), + .addra(dadr), + .dina(17'b0), + .douta({dr, dp, dn, dpc}), + .clkb(clk), + .enb(ena_b), + .web(dwe), + .addrb(dadr), + .dinb(a[16:0]), + .doutb() + /*AUTOINST*/); +`elsif SIMULATION + reg [16:0] ram [0:2047]; reg [16:0] out_a; reg [16:0] out_b; assign {dr,dp,dn,dpc} = out_a; @@ -82,33 +101,33 @@ if (reset) out_b <= 0; else if (1'b0) begin out_b <= ram[dadr]; end -`else - wire ena_a = ~state_prefetch && ~dwe | 1'b0; - wire ena_b = 1'b0 | dwe; - - ise_DRAM inst - ( - .clka(clk), - .ena(ena_a), - .wea(1'b0), - .addra(dadr), - .dina(17'b0), - .douta({dr, dp, dn, dpc}), - .clkb(clk), - .enb(ena_b), - .web(dwe), - .addrb(dadr), - .dinb(a[16:0]), - .doutb() - /*AUTOINST*/); +`else // ALTERA + reg [16:0] ram [0:2047]; + reg [16:0] out_a; + reg [16:0] out_b; + + assign {dr,dp,dn,dpc} = out_a; + wire en_rd = ~state_prefetch && ~dwe; + + always @(posedge clk) begin + if (dwe) begin + ram[dadr] <= a[16:0]; + end + end + + always @(posedge clk) begin + if (en_rd) begin + out_a <= ram[dadr]; + end + end `endif endmodule `default_nettype wire // Local Variables: // verilog-library-directories: (".." "../cores/xilinx") // End: Index: CADR4/IRAML/IRAM.v ================================================================== --- CADR4/IRAML/IRAM.v +++ CADR4/IRAML/IRAM.v @@ -32,29 +32,65 @@ module IRAM(/*AUTOARG*/ // Outputs iram, // Inputs clk, reset, pc, iwr, iwe + `ifdef EXTERNAL_MCR + mcr_addr, mcr_data_in, mcr_data_out, mcr_ready, mcr_write + `endif ); - + input clk; input reset; input [13:0] pc; input [48:0] iwr; input iwe; output [48:0] iram; - //////////////////////////////////////////////////////////////////////////////// - + //////////////////////////////////////////////////////////////////////////////// localparam ADDR_WIDTH = 14; localparam DATA_WIDTH = 49; localparam MEM_DEPTH = 16384; - //////////////////////////////////////////////////////////////////////////////// -`ifdef SIMULATION + + /// +`ifdef EXTERNAL_MCR + // microcode address + output [13:0] mcr_addr; + // microcode data output: write to external memory + output [48:0] mcr_data_out; + // microcode data input: read from external memory + input [48:0] mcr_data_in; + // is microcode ready? + input mcr_ready; + // are we writing to microcode ram? + output mcr_write; + // ??? + input mcr_done; + + // holding register to hold IRAM output data in + reg [48:0] out_mcr; + + // IRAM data + assign iram = out_mcr; + + // read + always @(posedge clk) begin + out_mcr <= mcr_data_in; + mcr_addr <= pc; + end + // write + always @(posedge clk) begin + mcr_write <= iwe; + if (iwe) begin + mcr_data_out <= iwr; + end + end + +`elsif SIMULATION reg [48:0] ram [0:MEM_DEPTH-1]; reg [48:0] out_a; assign iram = out_a; @@ -65,12 +101,13 @@ ram[pc] <= iwr; end always @(posedge clk) if (1'b1) begin + out_a <= ram[pc]; end -`else +`elsif ISE wire ena_a = 1'b1 | iwe; ise_IRAM inst ( .clka(clk), @@ -78,14 +115,28 @@ .wea(iwe), .addra(pc), .dina(iwr), .douta(iram) /*AUTOINST*/); +`else + reg [48:0] ram [0:MEM_DEPTH-1]; + reg [48:0] out_a; + + assign iram = out_a; + + + /* synthesis syn_ramstyle="block_ram" */ + always @(posedge clk) begin + if (iwe) begin + ram[pc] <= iwr; + end + out_a <= ram[pc]; + end `endif endmodule `default_nettype wire // Local Variables: // verilog-library-directories: ("../.." "../../cores/xilinx") // End: Index: CADR4/MD.v ================================================================== --- CADR4/MD.v +++ CADR4/MD.v @@ -66,11 +66,11 @@ md[31:16] <= spy_in; else if (ldmdl) md[15:0] <= spy_in; assign mddrive = srcmd & (state_alu || state_write || state_mmu || state_fetch); - assign mdgetspar = ~destmdr & ~ignpar; + //assign mdgetspar = (~destmdr) & (~ignpar); assign ignpar = 1'b0; assign mdclk = loadmd | destmdr; endmodule Index: CADR4/MMEM.v ================================================================== --- CADR4/MMEM.v +++ CADR4/MMEM.v @@ -34,12 +34,32 @@ localparam DATA_WIDTH = 32; localparam MEM_DEPTH = 32; //////////////////////////////////////////////////////////////////////////////// -`ifdef SIMULATION - reg [31:0] ram [0:31]; +`ifdef ISE + wire ena_a = mrp | 1'b0; + wire ena_b = 1'b0 | mwp; + + ise_MMEM inst + ( + .clka(clk), + .ena(ena_a), + .wea(1'b0), + .addra(madr), + .dina(32'b0), + .douta(mmem), + .clkb(clk), + .enb(ena_b), + .web(mwp), + .addrb(madr), + .dinb(l), + .doutb() + /*AUTOINST*/); + +`elsif SIMULATION + reg [31:0] ram [0:31]; reg [31:0] out_a; reg [31:0] out_b; assign mmem = out_a; @@ -62,29 +82,29 @@ if (reset) out_b <= 0; else if (1'b0) begin out_b <= ram[madr]; end -`else - wire ena_a = mrp | 1'b0; - wire ena_b = 1'b0 | mwp; - - ise_MMEM inst - ( - .clka(clk), - .ena(ena_a), - .wea(1'b0), - .addra(madr), - .dina(32'b0), - .douta(mmem), - .clkb(clk), - .enb(ena_b), - .web(mwp), - .addrb(madr), - .dinb(l), - .doutb() - /*AUTOINST*/); +`else // ALTERA + + reg [31:0] ram [0:31]; + reg [31:0] out_a; + + assign mmem = out_a; + initial out_a = 0; + + always @(posedge clk) begin + if (mwp) begin + ram[madr] <= l; + end + end + + always @(posedge clk) begin + if (mrp) begin + out_a <= ram[madr]; + end + end `endif endmodule Index: CADR4/PDL.v ================================================================== --- CADR4/PDL.v +++ CADR4/PDL.v @@ -35,17 +35,55 @@ localparam DATA_WIDTH = 32; localparam MEM_DEPTH = 1024; //////////////////////////////////////////////////////////////////////////////// -`ifdef SIMULATION - reg [31:0] ram [0:1023]; +`ifdef ISE + wire ena_a = prp | 1'b0; + wire ena_b = 1'b0 | pwp; + + ise_PDL inst + ( + .clka(clk), + .ena(ena_a), + .wea(1'b0), + .addra(pdla), + .dina(32'b0), + .douta(pdlo), + .clkb(clk), + .enb(ena_b), + .web(pwp), + .addrb(pdla), + .dinb(l), + .doutb() + /*AUTOINST*/); +`else + reg [31:0] ram [0:1023]; + reg [31:0] out_a; + reg [31:0] out_b; + + initial out_a = 0; + assign pdlo = out_a; + + wire p_en = pwp | prp; + + always @(posedge clk) begin + if (p_en) begin + if (pwp) begin + ram[pdla] <= l; + end + out_a <= ram[pdla]; + end + end + + /* + reg [31:0] ram [0:1023]; reg [31:0] out_a; reg [31:0] out_b; assign pdlo = out_a; - + always @(posedge clk) if (1'b0) begin ram[pdla] <= 32'b0; end else if (pwp) begin ram[pdla] <= l; @@ -62,33 +100,15 @@ if (reset) out_b <= 0; else if (1'b0) begin out_b <= ram[pdla]; end -`else - wire ena_a = prp | 1'b0; - wire ena_b = 1'b0 | pwp; - - ise_PDL inst - ( - .clka(clk), - .ena(ena_a), - .wea(1'b0), - .addra(pdla), - .dina(32'b0), - .douta(pdlo), - .clkb(clk), - .enb(ena_b), - .web(pwp), - .addrb(pdla), - .dinb(l), - .doutb() - /*AUTOINST*/); + */ `endif endmodule `default_nettype wire // Local Variables: // verilog-library-directories: (".." "../cores/xilinx") // End: Index: CADR4/SPC.v ================================================================== --- CADR4/SPC.v +++ CADR4/SPC.v @@ -46,18 +46,37 @@ wire [4:0] spcptr_p1; assign spcptr_p1 = spcptr + 5'b00001; assign spcadr = (spcnt && spush) ? spcptr_p1 : spcptr; -`ifdef SIMULATION +`ifndef ISE reg [18:0] ram [0:31]; reg [18:0] out_a; reg [18:0] out_b; + + reg swp_cond; + + always @(posedge clk) begin + swp_cond <= (swp && spcadr == spcptr); + out_b <= spcw; + end + + assign spco = (swp_cond) ? out_a : out_b; - assign spco = out_a; + always @(posedge clk) begin + if (swp) begin + ram[spcadr] <= spcw; + end + end - + always @(posedge clk) begin + if (srp) begin + out_a <= ram[spcptr]; + end + end + + /* always @(posedge clk) if (1'b0) begin ram[spcptr] <= 19'b0; end else if (swp) begin ram[spcadr] <= spcw; @@ -65,25 +84,19 @@ always @(posedge clk) if (reset) out_a <= 0; else if (srp && ~swp) begin - /* WE NEED 'READ NEW DATA' ON SIMULTANEOUS WRITE/READ TO SAME ADDR */ + / * WE NEED 'READ NEW DATA' ON SIMULTANEOUS WRITE/READ TO SAME ADDR * / if (swp && spcadr == spcptr) begin out_a <= spcw; end else begin out_a <= ram[spcptr]; end end - - always @(posedge clk) - if (reset) - out_b <= 0; - else if (1'b0) - begin - out_b <= ram[spcadr]; - end + */ + `else wire ena_a = srp && ~swp | 1'b0; wire ena_b = 1'b0 | swp; ise_SPC inst Index: CADR4/VMEM0.v ================================================================== --- CADR4/VMEM0.v +++ CADR4/VMEM0.v @@ -41,39 +41,11 @@ //////////////////////////////////////////////////////////////////////////////// assign vmem0_adr = mapi[23:13]; -`ifdef SIMULATION - reg [4:0] ram [0:2047]; - reg [4:0] out_a; - reg [4:0] out_b; - - assign vmap = out_a; - - - always @(posedge clk) - if (1'b0) begin - ram[vmem0_adr] <= 5'b0; - end else if (vm0wp) begin - ram[vmem0_adr] <= vma[31:27]; - end - - always @(posedge clk) - if (reset) - out_a <= 0; - else if (vm0rp && ~vm0wp) begin - out_a <= ram[vmem0_adr]; - end - - always @(posedge clk) - if (reset) - out_b <= 0; - else if (1'b0) begin - out_b <= ram[vmem0_adr]; - end -`else +`ifdef ISE wire ena_a = vm0rp && ~vm0wp | 1'b0; wire ena_b = 1'b0 | vm0wp; ise_VMEM0 inst ( @@ -88,10 +60,31 @@ .web(vm0wp), .addrb(vmem0_adr), .dinb(vma[31:27]), .doutb() /*AUTOINST*/); + +`else + + reg [4:0] ram [0:2047]; + reg [4:0] out_a; + initial out_a = 0; + + assign vmap = out_a; + + wire [4:0] vma_in = vma[31:27]; + wire vma_en = vm0rp | vm0wp; + + always @(posedge clk) begin + if (vma_en) begin + if (vm0wp) begin + ram[vmem0_adr] <= vma_in; + end + out_a <= ram[vmem0_adr]; + end + end + `endif assign use_map = srcmap | memstart; endmodule Index: CADR4/VMEM1.v ================================================================== --- CADR4/VMEM1.v +++ CADR4/VMEM1.v @@ -41,40 +41,11 @@ //////////////////////////////////////////////////////////////////////////////// assign vmem1_adr = {vmap[4:0], mapi[12:8]}; -`ifdef SIMULATION - reg [23:0] ram [0:1023]; - reg [23:0] out_a; - reg [23:0] out_b; - - assign vmo = out_a; - - - always @(posedge clk) - if (1'b0) begin - ram[vmem1_adr] <= 24'b0; - end - else if (vm1wp) begin - ram[vmem1_adr] <= vma[23:0]; - end - - always @(posedge clk) - if (reset) - out_a <= 0; - else if (vm1rp && ~vm1wp) begin - out_a <= ram[vmem1_adr]; - end - - always @(posedge clk) - if (reset) - out_b <= 0; - else if (1'b0) begin - out_b <= ram[vmem1_adr]; - end -`else +`ifdef ISE wire ena_a = vm1rp && ~vm1wp | 1'b0; wire ena_b = 1'b0 | vm1wp; ise_VMEM1 inst ( @@ -89,14 +60,31 @@ .web(vm1wp), .addrb(vmem1_adr), .dinb(vma[23:0]), .doutb() /*AUTOINST*/); +`else + reg [23:0] ram [0:1023]; + reg [23:0] out_a; + + assign vmo = out_a; + wire vm1_en = vm1rp | vm1wp; + initial out_a = 0; + + always @(posedge clk) begin + if (vm1_en) begin + if (vm1wp) begin + ram[vmem1_adr] <= vma[23:0]; + end + out_a <= ram[vmem1_adr]; + end + end + `endif endmodule `default_nettype wire // Local Variables: // verilog-library-directories: (".." "../cores/xilinx") // End: ADDED block_dev_mister.sv Index: block_dev_mister.sv ================================================================== --- block_dev_mister.sv +++ block_dev_mister.sv @@ -0,0 +1,748 @@ +/******************************************************************************* + * Engineer: Nicholas Nusgart + * Design Name: LM-3 CADR implementation + * Module Name: ram_controller_mister + * Project Name: LM-3 + * Description: This module is acts as the memory controller for the LM-3. It + acts as the interface from the LM-3 sdram bus to the MiSTer's + DDR3 and from the LM-3 vram bus to the VRAM (implemented as + block ram). + * Dependencies: alt_vram + * Revision: + * Revision 0.01 - File Created + * Additional Comments: +******************************************************************************/ + + +// block_dev_mmc.v --- ---!!! + +`timescale 1ns/1ps +`default_nettype none + +module block_dev_mister( + /// system + input wire clk, + input wire reset, + + /// block-device interface + // command + input [1:0] bd_cmd, + input bd_rd, + input bd_start, + input bd_wr, + // addr & data + input [23:0] bd_addr, + input [15:0] bd_data_in, + output [15:0] bd_data_out, + // status + output [11:0] bd_state, + output bd_bsy, + output bd_err, + output bd_iordy, + output bd_rdy, + + // MMC interface + input mmc_di, + input mmcclk, + output mmc_cs, + output mmc_do, + output mmc_sclk + ); + + + + //////////////////////////////////////////////////////////////////////////////// + + parameter + CMD01 = 48'h400000000095, + CMD02 = 48'h410000000001, + CMD16 = 48'h500000000001, + CMD17 = 48'h510000000001; + parameter [6:0] + s_idle = 0, + s_busy = 1, + s_init0 = 4, + s_init1 = 5, + s_init2 = 6, + s_init3 = 7, + s_init4 = 8, + s_init5 = 9, + s_read0 = 10, + s_read1 = 11, + s_read2 = 12, + s_read3 = 13, + s_read4 = 14, + s_read5 = 15, + s_read6 = 16, + s_write0 = 20, + s_write1 = 21, + s_write2 = 22, + s_write2aa = 19, + s_write2ab = 17, + s_write3 = 23, + s_write4 = 24, + s_write5 = 25, + s_write5a = 18, + s_write6 = 26, + s_write7 = 27, + s_done0 = 28, + s_reset = 29, + s_reset0 = 30, + s_reset1 = 31, + s_reset2 = 32, + s_reset3 = 33, + s_reset4 = 34, + s_reset5 = 35, + s_reset6 = 36, + s_reset7 = 37, + s_reset8 = 38, + s_reset9 = 39, + s_reset10 = 40, + s_reset11 = 41, + s_reset1a = 42, + s_reset2a = 43, + s_reset3a = 44, + s_reset4a = 45, + s_reset5a = 46, + s_reset6a = 47, + s_reset7a = 48, + s_reset8a = 49, + s_reset9a = 50, + s_reset10a = 51, + s_init0a = 52, + s_init1a = 53, + s_init2a = 54, + s_init4a = 55, + s_init5a = 56, + s_read0a = 57, + s_read1a = 58, + s_read3a = 59, + s_read4a = 60, + s_read5a = 61, + s_write1a = 62, + s_write2a = 63, + s_write3a = 64, + s_write4a = 65, + s_write5aa = 66, + s_write5b = 67, + s_write6a = 68; + + reg [15:0] data_hold; + reg [15:0] mmc_hold; + reg [1:0] bc; + reg [1:0] bd_cmd_hold; + reg [1:0] r_bd_cmd; + reg [31:0] lba32; + reg [47:0] mmc_cmd; + reg [6:0] state; + reg [6:0] state_next; + reg [7:0] mmc_in; + reg [7:0] wc; + reg clear_bc; + reg clear_err; + reg clear_wc; + reg err; + reg inc_bc; + reg inc_lba; + reg inc_wc; + reg inited; + reg mmc_hispeed; + reg mmc_init; + reg mmc_lospeed; + reg mmc_rd; + reg mmc_send; + reg mmc_speed; + reg mmc_stop; + reg mmc_wr; + reg r_bd_start; + reg set_err; + reg set_inited; + + wire [3:0] mmc_state; + wire [4:0] mmc_active; + wire [7:0] mmc_out; + wire mmc_done; + + /*AUTOWIRE*/ + /*AUTOREG*/ + + //////////////////////////////////////////////////////////////////////////////// + + mmc_wrapper mmc_wrapper + ( + .mmc_clk(mmcclk), + .speed(mmc_speed), + .wr(mmc_wr), + .rd(mmc_rd), + .init(mmc_init), + .send(mmc_send), + .stop(mmc_stop), + .cmd(mmc_cmd), + .data_in(mmc_in), + .data_out(mmc_out), + .done(mmc_done), + .state_out(mmc_state), + /*AUTOINST*/ + // Outputs + .mmc_cs (mmc_cs), + .mmc_do (mmc_do), + .mmc_sclk (mmc_sclk), + // Inputs + .clk (clk), + .mmc_di (mmc_di), + .reset (reset)); + + assign bd_iordy = (state == s_read2) || + (state == s_write2aa) || + (state == s_write2ab); + assign bd_rdy = + (state == s_idle) || + (state == s_read0) || (state == s_read1) || (state == s_read2) || + (state == s_write0) || (state == s_write1) || + (state == s_done0); + + always @(posedge clk) + if (reset) begin + /*AUTORESET*/ + // Beginning of autoreset for uninitialized flops + data_hold <= 16'h0; + // End of automatics + end else if (state == s_write0 && bd_wr) begin + data_hold <= bd_data_in; + end + + always @(posedge clk) + if (reset) begin + /*AUTORESET*/ + // Beginning of autoreset for uninitialized flops + mmc_hold <= 16'h0; + // End of automatics + end else if (mmc_done) begin + if (state == s_read0a) + mmc_hold[7:0] <= mmc_out; + else if (state == s_read1a) + mmc_hold[15:8] <= mmc_out; + else if (state == s_reset2 || state == s_reset4 || state == s_reset6 || + state == s_init2 || state == s_write5 || state == s_write5a) + mmc_hold[7:0] <= mmc_out; + end + + assign bd_data_out = mmc_hold; + + always @(posedge clk) + if (reset) begin + /*AUTORESET*/ + // Beginning of autoreset for uninitialized flops + wc <= 8'h0; + // End of automatics + end else if (clear_wc) + wc <= 8'b0; + else if (inc_wc) + wc <= wc + 8'b00000001; + + always @(posedge clk) + if (reset) begin + /*AUTORESET*/ + // Beginning of autoreset for uninitialized flops + bc <= 2'h0; + // End of automatics + end else if (clear_bc) + bc <= 2'b0; + else if (inc_bc) + bc <= bc + 2'b01; + + assign bd_bsy = state != s_idle ? 1'b1 : 1'b0; + assign bd_err = err; + + always @(posedge clk) + if (reset) begin + /*AUTORESET*/ + // Beginning of autoreset for uninitialized flops + lba32 <= 32'h0; + // End of automatics + end else begin + if (inc_lba) + lba32 <= lba32 + 32'd512; + else if (bd_start) + lba32 <= { bd_addr[22:0], 9'b0 }; + end + + always @(posedge clk) + if (reset) begin + /*AUTORESET*/ + // Beginning of autoreset for uninitialized flops + r_bd_cmd <= 2'h0; + r_bd_start <= 1'h0; + // End of automatics + end else begin + r_bd_cmd <= bd_cmd; + r_bd_start <= bd_start; + end + + always @(posedge clk) + if (reset) begin + /*AUTORESET*/ + // Beginning of autoreset for uninitialized flops + inited <= 1'h0; + // End of automatics + end else if (set_inited) + inited <= 1; + + always @(posedge clk) + if (reset) begin + /*AUTORESET*/ + // Beginning of autoreset for uninitialized flops + bd_cmd_hold <= 2'h0; + // End of automatics + end else if (bd_start) + bd_cmd_hold <= r_bd_cmd; + + always @(posedge clk) + if (reset) begin + /*AUTORESET*/ + // Beginning of autoreset for uninitialized flops + err <= 1'h0; + // End of automatics + end else if (clear_err) + err <= 1'b0; + else if (set_err) + err <= 1'b1; + + always @(posedge clk) + if (reset) + state <= s_idle; + else begin + state <= state_next; + end + + assign mmc_active = { mmc_speed, mmc_state }; + assign bd_state = { mmc_active, state }; + + always @(state or r_bd_cmd or bd_cmd_hold or r_bd_start or bd_rd or bd_wr or mmc_done or mmc_out or mmc_hold or bd_data_out) begin + state_next = state; + mmc_cmd = 0; + mmc_rd = 0; + mmc_wr = 0; + mmc_init = 0; + mmc_send = 0; + mmc_stop = 0; + mmc_in = 0; + mmc_hispeed = 0; + mmc_lospeed = 0; + clear_err = 0; + set_err = 0; + clear_wc = 0; + inc_wc = 0; + clear_bc = 0; + inc_bc = 0; + inc_lba = 0; + set_inited = 0; + case (state) + s_idle: begin + if (r_bd_start) begin + case (r_bd_cmd) + 2'b00: begin + state_next = s_reset; + end + 2'b01: begin + state_next = s_init0; + end + 2'b10: begin + state_next = s_init0; + end + 2'b11: + ; + endcase + end + end + s_busy: begin + state_next = s_idle; + end + s_reset: begin + mmc_lospeed = 1; + mmc_init = 1; + if (~mmc_done) + state_next = s_reset0; + end + s_reset0: begin + if (mmc_done) + state_next = s_reset1; + end + s_reset1: begin + mmc_send = 1; + mmc_cmd = CMD01; + if (~mmc_done) + state_next = s_reset1a; + end + s_reset1a: begin + if (mmc_done) + state_next = s_reset2; + end + s_reset2: begin + mmc_rd = 1; + if (~mmc_done) + state_next = s_reset2a; + end + s_reset2a: begin + if (mmc_done) begin + if (mmc_out == 8'h01) + state_next = s_reset3; + else if (mmc_out[7] == 1'b0) + state_next = s_reset; + else + state_next = s_reset2; + end + end + s_reset3: begin + mmc_stop = 1; + if (~mmc_done) + state_next = s_reset3a; + end + s_reset3a: begin + if (mmc_done) + state_next = s_reset4; + end + s_reset4: begin + mmc_send = 1; + mmc_cmd = CMD02; + if (~mmc_done) + state_next = s_reset4a; + end + s_reset4a: begin + if (mmc_done) + state_next = s_reset5; + end + s_reset5: begin + mmc_rd = 1; + if (~mmc_done) + state_next = s_reset5a; + end + s_reset5a: begin + if (mmc_done) begin + if (mmc_out == 8'h00) + state_next = s_reset7; + else if (mmc_out != 8'hff) + state_next = s_reset6; + else + state_next = s_reset5; + end + end + s_reset6: begin + mmc_stop = 1; + if (~mmc_done) + state_next = s_reset6a; + end + s_reset6a: begin + if (mmc_done) + state_next = s_reset4; + end + s_reset7: begin + mmc_stop = 1; + if (~mmc_done) + state_next = s_reset7a; + end + s_reset7a: begin + if (mmc_done) + state_next = s_reset8; + end + s_reset8: begin + mmc_hispeed = 1; + mmc_send = 1; + mmc_cmd = { 8'h50, 32'd512, 8'h01 }; + if (~mmc_done) + state_next = s_reset8a; + end + s_reset8a: begin + if (mmc_done) + state_next = s_reset9; + end + s_reset9: begin + mmc_rd = 1; + if (~mmc_done) + state_next = s_reset9a; + end + s_reset9a: begin + if (mmc_done) begin + if (mmc_out == 8'h00) + state_next = s_reset10; + else + state_next = s_reset9; + end + end + s_reset10: begin + mmc_stop = 1; + if (~mmc_done) + state_next = s_reset10a; + end + s_reset10a: begin + if (mmc_done) + state_next = s_reset11; + end + s_reset11: begin + set_inited = 1; + if (~inited && bd_cmd_hold != 2'b00) + state_next = s_init0; + else + state_next = s_busy; + end + s_init0: begin + if (~inited) + state_next = s_reset; + else + begin + mmc_send = 1; + mmc_cmd = { 8'hff, 8'hff, 8'hff, 8'hff, 8'hff, 8'hff }; + if (~mmc_done) + state_next = s_init0a; + end + end + s_init0a: begin + if (mmc_done) + state_next = s_init1; + end + s_init1: begin + mmc_send = 1; + mmc_cmd = bd_cmd_hold == 2'b10 ? { 8'h58, lba32, 8'h01 } : + bd_cmd_hold == 2'b01 ? { 8'h51, lba32, 8'h01 } : + 48'b0; + if (~mmc_done) + state_next = s_init1a; + end + s_init1a: begin + if (mmc_done) + state_next = s_init2; + end + s_init2: begin + mmc_rd = 1; + if (~mmc_done) + state_next = s_init2a; + end + s_init2a: begin + if (mmc_done) begin + if (mmc_out == 8'hff) + state_next = s_init2; + else if (mmc_out == 8'h00) + state_next = s_init3; + else begin + set_err = 1; + state_next = s_done0; + end + end + end + s_init3: begin + clear_wc = 1; + if (bd_cmd_hold == 2'b10) + state_next = s_init5; + else if (bd_cmd_hold == 2'b01) + state_next = s_init4; + end + s_init4: begin + mmc_rd = 1; + if (~mmc_done) + state_next = s_init4a; + end + s_init4a: begin + if (mmc_done) begin + if (mmc_out == 8'hff) + state_next = s_init4; + else if (mmc_out == 8'hfe) + state_next = s_read0; + end + end + s_init5: begin + mmc_wr = 1; + mmc_in = 8'hfe; + if (~mmc_done) + state_next = s_init5a; + end + s_init5a: begin + if (mmc_done) + state_next = s_write0; + end + s_read0: begin + mmc_rd = 1; + if (~mmc_done) + state_next = s_read0a; + end + s_read0a: begin + if (mmc_done) + state_next = s_read1; + end + s_read1: begin + mmc_rd = 1; + if (~mmc_done) + state_next = s_read1a; + end + s_read1a: begin + if (mmc_done) + state_next = s_read2; + end + s_read2: begin + if (bd_rd) begin + inc_wc = 1; + if (wc == 8'hff) + state_next = s_read3; + else + state_next = s_read0; + end + end + s_read3: begin + mmc_rd = 1; + if (~mmc_done) + state_next = s_read3a; + end + s_read3a: begin + if (mmc_done) + state_next = s_read4; + end + s_read4: begin + mmc_rd = 1; + if (~mmc_done) + state_next = s_read4a; + end + s_read4a: begin + if (mmc_done) + state_next = s_read5; + end + s_read5: begin + mmc_stop = 1; + if (~mmc_done) + state_next = s_read5a; + end + s_read5a: begin + if (mmc_done) + state_next = s_read6; + end + s_read6: begin + inc_bc = 1; + inc_lba = 1; + if (bc == 2'h01) + state_next = s_done0; + else + state_next = s_init0; + end + s_write0: begin + if (bd_wr) + state_next = s_write1; + end + s_write1: begin + mmc_wr = 1; + mmc_in = data_hold[7:0]; + if (~mmc_done) + state_next = s_write1a; + end + s_write1a: begin + if (mmc_done) + state_next = s_write2; + end + s_write2: begin + mmc_wr = 1; + mmc_in = data_hold[15:8]; + if (~mmc_done) + state_next = s_write2a; + end + s_write2a: begin + if (mmc_done) begin + inc_wc = 1; + if (wc == 8'hff) + state_next = s_write2ab; + else + state_next = s_write2aa; + end + end + s_write2aa: begin + if (~bd_wr) + state_next = s_write0; + end + s_write2ab: begin + if (~bd_wr) + state_next = s_write3; + end + s_write3: begin + mmc_wr = 1; + mmc_in = 8'h0; + if (~mmc_done) + state_next = s_write3a; + end + s_write3a: begin + if (mmc_done) + state_next = s_write4; + end + s_write4: begin + mmc_wr = 1; + mmc_in = 8'h0; + if (~mmc_done) + state_next = s_write4a; + end + s_write4a: begin + if (mmc_done) + state_next = s_write5; + end + s_write5: begin + mmc_rd = 1; + if (~mmc_done) + state_next = s_write5a; + end + s_write5a: begin + if (mmc_done) begin + if (mmc_out[4] == 1'b0 && mmc_out[0] == 1'b1) begin + if (mmc_out[3:1] != 3'b010) + set_err = 1; + end + state_next = s_write5aa; + end + end + s_write5aa: begin + mmc_rd = 1; + if (~mmc_done) + state_next = s_write5b; + end + s_write5b: begin + if (mmc_done) begin + if (mmc_out != 8'h00) + state_next = s_write6; + else + state_next = s_write5aa; + end + end + s_write6: begin + mmc_stop = 1; + if (~mmc_done) + state_next = s_write6a; + end + s_write6a: begin + if (mmc_done) + state_next = s_write7; + end + s_write7: begin + inc_bc = 1; + inc_lba = 1; + if (bc == 2'h01) + state_next = s_done0; + else + state_next = s_init0; + end + s_done0: begin + state_next = s_idle; + clear_err = 1; + clear_bc = 1; + end + default: begin + end + endcase + end + + always @(posedge clk) + if (reset) begin + /*AUTORESET*/ + // Beginning of autoreset for uninitialized flops + mmc_speed <= 1'h0; + // End of automatics + end else if (mmc_hispeed) + mmc_speed <= 1; + else if (mmc_lospeed) + mmc_speed <= 0; + +endmodule + +`default_nettype wire Index: block_dev_mmc.v ================================================================== --- block_dev_mmc.v +++ block_dev_mmc.v @@ -291,11 +291,11 @@ always @(posedge clk) if (reset) state <= s_idle; else begin - state <= state_next; + state <= state_next; end assign mmc_active = { mmc_speed, mmc_state }; assign bd_state = { mmc_active, state }; Index: busint.v ================================================================== --- busint.v +++ busint.v @@ -17,11 +17,11 @@ module busint(/*AUTOARG*/ // Outputs dataout, ack, load, interrupt, sdram_addr, sdram_data_out, sdram_req, sdram_write, bd_data_out, bd_cmd, bd_addr, bd_rd, bd_start, bd_wr, disk_state, vram_addr, vram_data_out, vram_req, - vram_write, promdisable, spyout, spyrd, spywr, spyreg, + vram_write, promdisable, spyout, spyrd, spywr, spyreg, o_audio, // Inputs clk, reset, addr, datain, req, write, sdram_data_in, sdram_done, sdram_ready, bd_state, bd_data_in, bd_bsy, bd_err, bd_iordy, bd_rdy, vram_data_in, vram_done, vram_ready, kb_data, kb_ready, ms_x, ms_y, ms_button, ms_ready, spyin @@ -36,10 +36,11 @@ input write; output [31:0] dataout; output ack; output load; output interrupt; + output [15:0] o_audio; // ---!!! ////////////////////////////////////////////////////////////////////// input [31:0] sdram_data_in; input sdram_done; @@ -248,10 +249,11 @@ .decode(decode_io), .interrupt(interrupt_io), /*AUTOINST*/ // Outputs .vector (vector[7:0]), + .o_audio (o_audio), // Inputs .clk (clk), .reset (reset), .addr (addr[21:0]), .write (write), Index: cadr.v ================================================================== --- cadr.v +++ cadr.v @@ -22,15 +22,21 @@ input [15:0] spy_in; output [15:0] spy_out; input dbread; input dbwrite; input [4:0] eadr; + // mcr address == pc output [13:0] mcr_addr; + // data to write to mcr if mcr_write is asserted output [48:0] mcr_data_out; + // data read from mcr input [48:0] mcr_data_in; + // is mcr ready for commands: input mcr_ready; + // write to mcr?? output mcr_write; + // is mcr done rd/wr?? input mcr_done; output [31:0] md; output memrq; output wrcyc; output [31:0] vma; @@ -40,10 +46,12 @@ input loadmd; input [31:0] busint_bus; input bus_int; input memack; input set_promdisable; + /// + wire [11:0] bd_state_in; //////////////////////////////////////////////////////////////////////////////// /*AUTOWIRE*/ // Beginning of automatic wires (for undeclared instantiated-module outputs) @@ -371,15 +379,13 @@ .state_decode (state_decode), .state_write (state_write), .ir (ir[48:0]), .dest (dest), .destm (destm)); - ALATCH cadr_alatch(/*AUTOINST*/ - // Outputs - .a (a[31:0]), - // Inputs - .amem (amem[31:0])); + + assign a = amem; + ALU cadr_alu(/*AUTOINST*/ // Outputs .alu (alu[32:0]), .aeqm (aeqm), .xout3 (xout3), @@ -785,15 +791,13 @@ .reset (reset), .l (l[31:0]), .pdla (pdla[9:0]), .prp (prp), .pwp (pwp)); - PLATCH cadr_platch(/*AUTOINST*/ - // Outputs - .pdl (pdl[31:0]), - // Inputs - .pdlo (pdlo[31:0])); + + assign pdl = pdlo; + PDLCTL cadr_pdlctl(/*AUTOINST*/ // Outputs .pdla (pdla[9:0]), .pdlcnt (pdlcnt), .pdldrive (pdldrive), @@ -939,15 +943,13 @@ .spcw (spcw[18:0]), .spcnt (spcnt), .spush (spush), .srp (srp), .swp (swp)); - SPCLCH cadr_spclch(/*AUTOINST*/ - // Outputs - .spc (spc[18:0]), - // Inputs - .spco (spco[18:0])); + + assign spc = spco; + SPCW cadr_spcw(/*AUTOINST*/ // Outputs .spcw (spcw[18:0]), // Inputs .ipc (ipc[13:0]), @@ -1014,15 +1016,13 @@ .ssdone (ssdone), .stathalt (stathalt), .vmaok (vmaok), .waiting (waiting), .wmap (wmap)); - TRAP cadr_trap(/*AUTOINST*/ - // Outputs - .trap (trap), - // Inputs - .boot_trap (boot_trap)); + + assign trap = boot_trap; + VCTL1 cadr_vctl1(/*AUTOINST*/ // Outputs .memprepare (memprepare), .memrq (memrq), .memstart (memstart), @@ -1241,19 +1241,32 @@ // Outputs .iprom (iprom[48:0]), // Inputs .clk (clk), .promaddr (promaddr[8:0])); + + // IRAM IRAM cadr_iram(/*AUTOINST*/ // Outputs .iram (iram[48:0]), // Inputs .clk (clk), .reset (reset), .pc (pc[13:0]), .iwr (iwr[48:0]), - .iwe (iwe)); + .iwe (iwe) + `ifdef EXTERNAL_MCR + , + .mcr_addr (mcr_addr[13:0]), + .mcr_data_in (mcr_data_in[48:0]), + .mcr_data_out (mcr_data_out[48:0]), + .mcr_ready (mcr_ready), + .mcr_hold (mcr_hold), + .mcr_write (mcr_write), + .mcr_done (mcr_done) + `endif + ); SPY0 cadr_spy0(/*AUTOINST*/ // Outputs .ldclk (ldclk), .lddbirh (lddbirh), .lddbirl (lddbirl), Index: cadr.vh ================================================================== --- cadr.vh +++ cadr.vh @@ -1,1 +1,5 @@ // cadr.vh --- global definitions +`define enable_vga +`define enable_ps2 +`define enable_mmc +`define ISE ADDED cadr_core.sv Index: cadr_core.sv ================================================================== --- cadr_core.sv +++ cadr_core.sv @@ -0,0 +1,320 @@ +/******************************************************************************* + * Engineer: Nicholas Nusgart + * Design Name: LM-3 CADR implementation + * Module Name: cadr_core + * Project Name: LM-3 + * Description: This module is the system-implementation of the LM-3. + TODO: possibly get rid of lm3.v + * Dependencies: support_cyc2, ram_controller_mister, lm3 + * Revision: + * Revision 0.01 - File Created + * Additional Comments: +******************************************************************************/ + +`default_nettype none +module cadr_core +( + input clk, + input cpu_clk, + input clk_vga, + input reset, + + input pal, + input scandouble, + + /// VGA video out + // pixel sampling strobe + output reg ce_pix, + // pixel colors + output wire vga_r, + output wire vga_g, + output wire vga_b, + // sync signals + output wire vga_hsync, + output wire vga_vsync, + // is the "beam" on-screen + output wire vga_blank, + + /// MMC interface + output wire mmc_cs, + input wire mmc_di, + output wire mmc_do, + output wire mmc_sclk, + + /// DDR memory interface + output DDRAM_CLK, + input DDRAM_BUSY, + output [7:0] DDRAM_BURSTCNT, + output [28:0] DDRAM_ADDR, + input [63:0] DDRAM_DOUT, + input DDRAM_DOUT_READY, + output DDRAM_RD, + output [63:0] DDRAM_DIN, + output [7:0] DDRAM_BE, + output DDRAM_WE, + + // Keyboard and Mouse + output wire ps2_kbd_clk_out, + output wire ps2_kbd_data_out, + input wire ps2_kbd_clk_in, + input wire ps2_kbd_data_in, + output [15:0] kbd_audio, + + // emulated ps2 mouse + output wire ps2_mouse_clk_out, + output wire ps2_mouse_data_out, + input wire ps2_mouse_clk_in, + input wire ps2_mouse_data_in +); + + reg [3:0] clkcnt; + /*AUTOWIRE*/ + // Beginning of automatic wires (for undeclared instantiated-module outputs) + wire boot; // From support of support_lx45.v + wire [15:0] busint_spyout; // From lm3 of lm3.v + wire dcm_reset; // From support of support_lx45.v + wire [4:0] disk_state; // From lm3 of lm3.v + wire halt; // From support of support_lx45.v + wire interrupt; // From support of support_lx45.v + wire lpddr_calib_done; // From rc of ram_controller_lx45.v + wire lpddr_reset; // From support of support_lx45.v + wire [13:0] mcr_addr; // From lm3 of lm3.v + wire [48:0] mcr_data_out; // From lm3 of lm3.v + wire [48:0] mcr_data_in; // From lm3 of lm3.v + wire mcr_done; // From rc of ram_controller_lx45.v + wire mcr_ready; // From rc of ram_controller_lx45.v + wire mcr_write; // From lm3 of lm3.v + wire [21:0] sdram_addr; // From lm3 of lm3.v + wire [31:0] sdram_data_cpu2rc; // From lm3 of lm3.v + wire [31:0] sdram_data_rc2cpu; // From lm3 of lm3.v + wire sdram_done; // From rc of ram_controller_lx45.v + wire sdram_ready; // From rc of ram_controller_lx45.v + wire sdram_req; // From lm3 of lm3.v + wire sdram_write; // From lm3 of lm3.v + wire spy_rd; // From lm3 of lm3.v + wire [3:0] spy_reg; // From lm3 of lm3.v + wire spy_wr; // From lm3 of lm3.v + wire [14:0] vram_cpu_addr; // From lm3 of lm3.v + wire [31:0] vram_cpu_data_out; // From lm3 of lm3.v + wire vram_cpu_done; // From rc of ram_controller_lx45.v + wire vram_cpu_ready; // From rc of ram_controller_lx45.v + wire vram_cpu_req; // From lm3 of lm3.v + wire vram_cpu_write; // From lm3 of lm3.v + wire [14:0] vram_vga_addr; // From lm3 of lm3.v + wire [31:0] vram_vga_data_out; // From rc of ram_controller_lx45.v + wire [31:0] vram_cpu_data_in; // From rc of ram_controller_lx45.v + wire vram_vga_ready; // From rc of ram_controller_lx45.v + wire vram_vga_req; // From lm3 of lm3.v + // End of automatics + + //////////////////////////////////////////////////////////////////////////////// + + wire clk50; + + wire rs232_txd, rs232_rxd; + assign clk50 = clk; + wire sup_reset; + + support_cyc2 support + ( + .sysclk(clk50), + .button_r(reset), + .button_b(1'b0), + .button_h(1'b0), + .button_c(1'b0), + /*AUTOINST*/ + // Outputs + .boot (boot), + .dcm_reset (dcm_reset), + .halt (halt), + .interrupt (interrupt), + .reset (sup_reset), + // Inputs + .cpu_clk (cpu_clk), + .lpddr_calib_done (~reset)); + + ram_controller_mister rc + ( + .clk(clk50), + .mcr_data_out(mcr_data_in), + .mcr_data_in(mcr_data_out), + .sdram_data_in(sdram_data_cpu2rc), + .sdram_data_out(sdram_data_rc2cpu), + .vram_cpu_data_in(vram_cpu_data_out), + .vram_cpu_data_out(vram_cpu_data_in), + + // + .DDRAM_CLK(DDRAM_CLK), + .DDRAM_ADDR(DDRAM_ADDR), + .DDRAM_BURSTCNT(DDRAM_BURSTCNT), + .DDRAM_BUSY(DDRAM_BUSY), + .DDRAM_DOUT(DDRAM_DOUT), + .DDRAM_DOUT_READY(DDRAM_DOUT_READY), + .DDRAM_RD(DDRAM_RD), + .DDRAM_DIN(DDRAM_DIN), + .DDRAM_BE(DDRAM_BE), + .DDRAM_WE(DDRAM_WE), + + // Outputs + .vram_vga_data_out (vram_vga_data_out[31:0]), + .mcr_done (mcr_done), + .mcr_ready (mcr_ready), + .sdram_done (sdram_done), + .sdram_ready (sdram_ready), + .vram_cpu_done (vram_cpu_done), + .vram_cpu_ready (vram_cpu_ready), + .vram_vga_ready (vram_vga_ready), + // Inouts + // Inputs + .mcr_addr (mcr_addr[13:0]), + .vram_cpu_addr (vram_cpu_addr[14:0]), + .vram_vga_addr (vram_vga_addr[14:0]), + .sdram_addr (sdram_addr[21:0]), + .cpu_clk (cpu_clk), + .mcr_write (mcr_write), + .reset (reset), + .sdram_req (sdram_req), + .sdram_write (sdram_write), + .vga_clk (clk_vga), + .vram_cpu_req (vram_cpu_req), + .vram_cpu_write (vram_cpu_write), + .vram_vga_req (vram_vga_req)); + + lm3 lm3(/*AUTOINST*/ + // Outputs + .sdram_addr (sdram_addr[21:0]), + .sdram_data_cpu2rc (sdram_data_cpu2rc[31:0]), + .sdram_req (sdram_req), + .sdram_write (sdram_write), + .vram_cpu_addr (vram_cpu_addr[14:0]), + .vram_cpu_data_out (vram_cpu_data_out[31:0]), + .vram_cpu_req (vram_cpu_req), + .vram_cpu_write (vram_cpu_write), + .spy_reg (spy_reg[3:0]), + .busint_spyout (busint_spyout[15:0]), + .spy_rd (spy_rd), + .spy_wr (spy_wr), + .disk_state (disk_state[4:0]), + .mcr_addr (mcr_addr[13:0]), + .mcr_data_out (mcr_data_out[48:0]), + .mcr_write (mcr_write), + .mmc_cs (mmc_cs), + .mmc_do (mmc_do), + .mmc_sclk (mmc_sclk), + .vram_vga_addr (vram_vga_addr[14:0]), + .vram_vga_req (vram_vga_req), + .vga_blank (vga_blank), + .vga_r (vga_r), + .vga_g (vga_g), + .vga_b (vga_b), + .vga_hsync (vga_hsync), + .vga_vsync (vga_vsync), + .rs232_txd (rs232_txd), + .o_audio(kbd_audio), + // Inouts + .ps2_mouse_clk_in (ps2_mouse_clk_in), + .ps2_mouse_data_in (ps2_mouse_data_in), + .ps2_mouse_clk_out (ps2_mouse_clk_out), + .ps2_mouse_data_out (ps2_mouse_data_out), + // Inputs + .clk50 (clk50), + .reset (reset), + .sdram_data_rc2cpu (sdram_data_rc2cpu[31:0]), + .sdram_done (sdram_done), + .sdram_ready (sdram_ready), + .vram_cpu_data_in (vram_cpu_data_in[31:0]), + .vram_cpu_done (vram_cpu_done), + .vram_cpu_ready (vram_cpu_ready), + .cpu_clk (cpu_clk), + .boot (boot), + .halt (halt), + .interrupt (interrupt), + .mcr_data_in (mcr_data_in[48:0]), + .mcr_ready (mcr_ready), + .mcr_done (mcr_done), + .mmc_di (mmc_di), + .vram_vga_data_out (vram_vga_data_out[31:0]), + .vram_vga_ready (vram_vga_ready), + .vga_clk (clk_vga), + .kb_ps2_clk (ps2_kbd_clk_in), + .kb_ps2_data (ps2_kbd_data_in), + .rs232_rxd (rs232_rxd)); + /* + assign led[3] = 1'b0; + assign led[2] = disk_state[1]; + assign led[1] = disk_state[2]; + assign led[0] = reset; +*/ + + +/* +/////////////////////////// ORIGINAL STUFF +reg [9:0] hc; +reg [9:0] vc; +reg [9:0] vvc; +reg [63:0] rnd_reg; + +wire [5:0] rnd_c = {rnd_reg[0],rnd_reg[1],rnd_reg[2],rnd_reg[2],rnd_reg[2],rnd_reg[2]}; +wire [63:0] rnd; + +lfsr random(rnd); + +always @(posedge clk) begin + if(scandouble) ce_pix <= 1; + else ce_pix <= ~ce_pix; + + if(reset) begin + hc <= 0; + vc <= 0; + end + else if(ce_pix) begin + if(hc == 637) begin + hc <= 0; + if(vc == (pal ? (scandouble ? 623 : 311) : (scandouble ? 523 : 261))) begin + vc <= 0; + vvc <= vvc + 9'd6; + end else begin + vc <= vc + 1'd1; + end + end else begin + hc <= hc + 1'd1; + end + + rnd_reg <= rnd; + end +end + +always @(posedge clk) begin + if (hc == 529) HBlank <= 1; + else if (hc == 0) HBlank <= 0; + + if (hc == 544) begin + HSync <= 1; + + if(pal) begin + if(vc == (scandouble ? 609 : 304)) VSync <= 1; + else if (vc == (scandouble ? 617 : 308)) VSync <= 0; + + if(vc == (scandouble ? 601 : 300)) VBlank <= 1; + else if (vc == 0) VBlank <= 0; + end + else begin + if(vc == (scandouble ? 490 : 245)) VSync <= 1; + else if (vc == (scandouble ? 496 : 248)) VSync <= 0; + + if(vc == (scandouble ? 480 : 240)) VBlank <= 1; + else if (vc == 0) VBlank <= 0; + end + end + + if (hc == 590) HSync <= 0; +end + +reg [7:0] cos_out; +wire [5:0] cos_g = cos_out[7:3]+6'd32; +cos cos(vvc + {vc>>scandouble, 2'b00}, cos_out); + +assign video = (cos_g >= rnd_c) ? {cos_g - rnd_c, 2'b00} : 8'd0; +*/ +endmodule +`default_nettype wire ADDED clkwiz.v Index: clkwiz.v ================================================================== --- clkwiz.v +++ clkwiz.v @@ -0,0 +1,321 @@ +// megafunction wizard: %ALTPLL% +// GENERATION: STANDARD +// VERSION: WM1.0 +// MODULE: altpll + +// ============================================================ +// File Name: clkwiz.v +// Megafunction Name(s): +// altpll +// +// Simulation Library Files(s): +// altera_mf +// ============================================================ +// ************************************************************ +// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! +// +// 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition +// ************************************************************ + + +//Copyright (C) 1991-2013 Altera Corporation +//Your use of Altera Corporation's design tools, logic functions +//and other software and tools, and its AMPP partner logic +//functions, and any output files from any of the foregoing +//(including device programming or simulation files), and any +//associated documentation or information are expressly subject +//to the terms and conditions of the Altera Program License +//Subscription Agreement, Altera MegaCore Function License +//Agreement, or other applicable license agreement, including, +//without limitation, that your use is for the sole purpose of +//programming logic devices manufactured by Altera and sold by +//Altera or its authorized distributors. Please refer to the +//applicable agreement for further details. + + +// synopsys translate_off +`timescale 1 ps / 1 ps +// synopsys translate_on +module clkwiz ( + areset, + inclk0, + c0, + locked); + + input areset; + input inclk0; + output c0; + output locked; +`ifndef ALTERA_RESERVED_QIS +// synopsys translate_off +`endif + tri0 areset; +`ifndef ALTERA_RESERVED_QIS +// synopsys translate_on +`endif + + wire sub_wire0; + wire [5:0] sub_wire1; + wire [0:0] sub_wire5 = 1'h0; + wire locked = sub_wire0; + wire [0:0] sub_wire2 = sub_wire1[0:0]; + wire c0 = sub_wire2; + wire sub_wire3 = inclk0; + wire [1:0] sub_wire4 = {sub_wire5, sub_wire3}; + + altpll altpll_component ( + .areset (areset), + .inclk (sub_wire4), + .locked (sub_wire0), + .clk (sub_wire1), + .activeclock (), + .clkbad (), + .clkena ({6{1'b1}}), + .clkloss (), + .clkswitch (1'b0), + .configupdate (1'b0), + .enable0 (), + .enable1 (), + .extclk (), + .extclkena ({4{1'b1}}), + .fbin (1'b1), + .fbmimicbidir (), + .fbout (), + .fref (), + .icdrclk (), + .pfdena (1'b1), + .phasecounterselect ({4{1'b1}}), + .phasedone (), + .phasestep (1'b1), + .phaseupdown (1'b1), + .pllena (1'b1), + .scanaclr (1'b0), + .scanclk (1'b0), + .scanclkena (1'b1), + .scandata (1'b0), + .scandataout (), + .scandone (), + .scanread (1'b0), + .scanwrite (1'b0), + .sclkout0 (), + .sclkout1 (), + .vcooverrange (), + .vcounderrange ()); + defparam + altpll_component.clk0_divide_by = 12, + altpll_component.clk0_duty_cycle = 50, + altpll_component.clk0_multiply_by = 13, + altpll_component.clk0_phase_shift = "0", + altpll_component.compensate_clock = "CLK0", + altpll_component.gate_lock_signal = "NO", + altpll_component.inclk0_input_frequency = 10000, + altpll_component.intended_device_family = "Cyclone II", + altpll_component.invalid_lock_multiplier = 5, + altpll_component.lpm_hint = "CBX_MODULE_PREFIX=clkwiz", + altpll_component.lpm_type = "altpll", + altpll_component.operation_mode = "NORMAL", + altpll_component.port_activeclock = "PORT_UNUSED", + altpll_component.port_areset = "PORT_USED", + altpll_component.port_clkbad0 = "PORT_UNUSED", + altpll_component.port_clkbad1 = "PORT_UNUSED", + altpll_component.port_clkloss = "PORT_UNUSED", + altpll_component.port_clkswitch = "PORT_UNUSED", + altpll_component.port_configupdate = "PORT_UNUSED", + altpll_component.port_fbin = "PORT_UNUSED", + altpll_component.port_inclk0 = "PORT_USED", + altpll_component.port_inclk1 = "PORT_UNUSED", + altpll_component.port_locked = "PORT_USED", + altpll_component.port_pfdena = "PORT_UNUSED", + altpll_component.port_phasecounterselect = "PORT_UNUSED", + altpll_component.port_phasedone = "PORT_UNUSED", + altpll_component.port_phasestep = "PORT_UNUSED", + altpll_component.port_phaseupdown = "PORT_UNUSED", + altpll_component.port_pllena = "PORT_UNUSED", + altpll_component.port_scanaclr = "PORT_UNUSED", + altpll_component.port_scanclk = "PORT_UNUSED", + altpll_component.port_scanclkena = "PORT_UNUSED", + altpll_component.port_scandata = "PORT_UNUSED", + altpll_component.port_scandataout = "PORT_UNUSED", + altpll_component.port_scandone = "PORT_UNUSED", + altpll_component.port_scanread = "PORT_UNUSED", + altpll_component.port_scanwrite = "PORT_UNUSED", + altpll_component.port_clk0 = "PORT_USED", + altpll_component.port_clk1 = "PORT_UNUSED", + altpll_component.port_clk2 = "PORT_UNUSED", + altpll_component.port_clk3 = "PORT_UNUSED", + altpll_component.port_clk4 = "PORT_UNUSED", + altpll_component.port_clk5 = "PORT_UNUSED", + altpll_component.port_clkena0 = "PORT_UNUSED", + altpll_component.port_clkena1 = "PORT_UNUSED", + altpll_component.port_clkena2 = "PORT_UNUSED", + altpll_component.port_clkena3 = "PORT_UNUSED", + altpll_component.port_clkena4 = "PORT_UNUSED", + altpll_component.port_clkena5 = "PORT_UNUSED", + altpll_component.port_extclk0 = "PORT_UNUSED", + altpll_component.port_extclk1 = "PORT_UNUSED", + altpll_component.port_extclk2 = "PORT_UNUSED", + altpll_component.port_extclk3 = "PORT_UNUSED", + altpll_component.valid_lock_multiplier = 1; + + +endmodule + +// ============================================================ +// CNX file retrieval info +// ============================================================ +// Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0" +// Retrieval info: PRIVATE: BANDWIDTH STRING "1.000" +// Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "0" +// Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz" +// Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low" +// Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1" +// Retrieval info: PRIVATE: BANDWIDTH_USE_CUSTOM STRING "0" +// Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0" +// Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0" +// Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0" +// Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "1" +// Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0" +// Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0" +// Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0" +// Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0" +// Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "c0" +// Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "8" +// Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "12" +// Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000" +// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE0 STRING "108.333336" +// Retrieval info: PRIVATE: EXPLICIT_SWITCHOVER_COUNTER STRING "0" +// Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0" +// Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1" +// Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "1" +// Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0" +// Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575" +// Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1" +// Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "100.000" +// Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz" +// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "100.000" +// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1" +// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1" +// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz" +// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone II" +// Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1" +// Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "1" +// Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1" +// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "Not Available" +// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0" +// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg" +// Retrieval info: PRIVATE: MIG_DEVICE_SPEED_GRADE STRING "Any" +// Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0" +// Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "13" +// Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1" +// Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "108.00000000" +// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "0" +// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz" +// Retrieval info: PRIVATE: PHASE_RECONFIG_FEATURE_ENABLED STRING "0" +// Retrieval info: PRIVATE: PHASE_RECONFIG_INPUTS_CHECK STRING "0" +// Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000" +// Retrieval info: PRIVATE: PHASE_SHIFT_STEP_ENABLED_CHECK STRING "0" +// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg" +// Retrieval info: PRIVATE: PLL_ADVANCED_PARAM_CHECK STRING "0" +// Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "1" +// Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1" +// Retrieval info: PRIVATE: PLL_ENA_CHECK STRING "0" +// Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0" +// Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0" +// Retrieval info: PRIVATE: PLL_FBMIMIC_CHECK STRING "0" +// Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0" +// Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0" +// Retrieval info: PRIVATE: PLL_TARGET_HARCOPY_CHECK NUMERIC "0" +// Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0" +// Retrieval info: PRIVATE: RECONFIG_FILE STRING "clkwiz.mif" +// Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0" +// Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "0" +// Retrieval info: PRIVATE: SELF_RESET_LOCK_LOSS STRING "0" +// Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0" +// Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0" +// Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000" +// Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz" +// Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500" +// Retrieval info: PRIVATE: SPREAD_USE STRING "0" +// Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0" +// Retrieval info: PRIVATE: STICKY_CLK0 STRING "1" +// Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1" +// Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "1" +// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" +// Retrieval info: PRIVATE: USE_CLK0 STRING "1" +// Retrieval info: PRIVATE: USE_CLKENA0 STRING "0" +// Retrieval info: PRIVATE: USE_MIL_SPEED_GRADE NUMERIC "0" +// Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0" +// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all +// Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "12" +// Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50" +// Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "13" +// Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0" +// Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0" +// Retrieval info: CONSTANT: GATE_LOCK_SIGNAL STRING "NO" +// Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "10000" +// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone II" +// Retrieval info: CONSTANT: INVALID_LOCK_MULTIPLIER NUMERIC "5" +// Retrieval info: CONSTANT: LPM_TYPE STRING "altpll" +// Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL" +// Retrieval info: CONSTANT: PORT_ACTIVECLOCK STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_ARESET STRING "PORT_USED" +// Retrieval info: CONSTANT: PORT_CLKBAD0 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_CLKBAD1 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_CLKLOSS STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_CLKSWITCH STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_CONFIGUPDATE STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_FBIN STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_INCLK0 STRING "PORT_USED" +// Retrieval info: CONSTANT: PORT_INCLK1 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_LOCKED STRING "PORT_USED" +// Retrieval info: CONSTANT: PORT_PFDENA STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_PHASECOUNTERSELECT STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_PHASEDONE STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_PHASESTEP STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_PHASEUPDOWN STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_PLLENA STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_SCANACLR STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_SCANCLK STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_SCANCLKENA STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_SCANDATA STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_SCANDATAOUT STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_SCANDONE STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_SCANREAD STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_SCANWRITE STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clk0 STRING "PORT_USED" +// Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clk2 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clk3 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clk4 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clk5 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clkena0 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clkena1 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clkena2 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clkena3 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clkena4 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clkena5 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_extclk0 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_extclk1 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_extclk2 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_extclk3 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: VALID_LOCK_MULTIPLIER NUMERIC "1" +// Retrieval info: USED_PORT: @clk 0 0 6 0 OUTPUT_CLK_EXT VCC "@clk[5..0]" +// Retrieval info: USED_PORT: @extclk 0 0 4 0 OUTPUT_CLK_EXT VCC "@extclk[3..0]" +// Retrieval info: USED_PORT: areset 0 0 0 0 INPUT GND "areset" +// Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT_CLK_EXT VCC "c0" +// Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT_CLK_EXT GND "inclk0" +// Retrieval info: USED_PORT: locked 0 0 0 0 OUTPUT GND "locked" +// Retrieval info: CONNECT: @areset 0 0 0 0 areset 0 0 0 0 +// Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0 +// Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0 +// Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0 +// Retrieval info: CONNECT: locked 0 0 0 0 @locked 0 0 0 0 +// Retrieval info: GEN_FILE: TYPE_NORMAL clkwiz.v TRUE +// Retrieval info: GEN_FILE: TYPE_NORMAL clkwiz.ppf TRUE +// Retrieval info: GEN_FILE: TYPE_NORMAL clkwiz.inc FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL clkwiz.cmp FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL clkwiz.bsf FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL clkwiz_inst.v FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL clkwiz_bb.v TRUE +// Retrieval info: LIB_FILE: altera_mf +// Retrieval info: CBX_MODULE_PREFIX: ON ADDED clkwiz_bb.v Index: clkwiz_bb.v ================================================================== --- clkwiz_bb.v +++ clkwiz_bb.v @@ -0,0 +1,212 @@ +// megafunction wizard: %ALTPLL%VBB% +// GENERATION: STANDARD +// VERSION: WM1.0 +// MODULE: altpll + +// ============================================================ +// File Name: clkwiz.v +// Megafunction Name(s): +// altpll +// +// Simulation Library Files(s): +// altera_mf +// ============================================================ +// ************************************************************ +// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! +// +// 13.0.1 Build 232 06/12/2013 SP 1 SJ Web Edition +// ************************************************************ + +//Copyright (C) 1991-2013 Altera Corporation +//Your use of Altera Corporation's design tools, logic functions +//and other software and tools, and its AMPP partner logic +//functions, and any output files from any of the foregoing +//(including device programming or simulation files), and any +//associated documentation or information are expressly subject +//to the terms and conditions of the Altera Program License +//Subscription Agreement, Altera MegaCore Function License +//Agreement, or other applicable license agreement, including, +//without limitation, that your use is for the sole purpose of +//programming logic devices manufactured by Altera and sold by +//Altera or its authorized distributors. Please refer to the +//applicable agreement for further details. + +module clkwiz ( + areset, + inclk0, + c0, + locked); + + input areset; + input inclk0; + output c0; + output locked; +`ifndef ALTERA_RESERVED_QIS +// synopsys translate_off +`endif + tri0 areset; +`ifndef ALTERA_RESERVED_QIS +// synopsys translate_on +`endif + +endmodule + +// ============================================================ +// CNX file retrieval info +// ============================================================ +// Retrieval info: PRIVATE: ACTIVECLK_CHECK STRING "0" +// Retrieval info: PRIVATE: BANDWIDTH STRING "1.000" +// Retrieval info: PRIVATE: BANDWIDTH_FEATURE_ENABLED STRING "0" +// Retrieval info: PRIVATE: BANDWIDTH_FREQ_UNIT STRING "MHz" +// Retrieval info: PRIVATE: BANDWIDTH_PRESET STRING "Low" +// Retrieval info: PRIVATE: BANDWIDTH_USE_AUTO STRING "1" +// Retrieval info: PRIVATE: BANDWIDTH_USE_CUSTOM STRING "0" +// Retrieval info: PRIVATE: BANDWIDTH_USE_PRESET STRING "0" +// Retrieval info: PRIVATE: CLKBAD_SWITCHOVER_CHECK STRING "0" +// Retrieval info: PRIVATE: CLKLOSS_CHECK STRING "0" +// Retrieval info: PRIVATE: CLKSWITCH_CHECK STRING "1" +// Retrieval info: PRIVATE: CNX_NO_COMPENSATE_RADIO STRING "0" +// Retrieval info: PRIVATE: CREATE_CLKBAD_CHECK STRING "0" +// Retrieval info: PRIVATE: CREATE_INCLK1_CHECK STRING "0" +// Retrieval info: PRIVATE: CUR_DEDICATED_CLK STRING "c0" +// Retrieval info: PRIVATE: CUR_FBIN_CLK STRING "c0" +// Retrieval info: PRIVATE: DEVICE_SPEED_GRADE STRING "8" +// Retrieval info: PRIVATE: DIV_FACTOR0 NUMERIC "12" +// Retrieval info: PRIVATE: DUTY_CYCLE0 STRING "50.00000000" +// Retrieval info: PRIVATE: EFF_OUTPUT_FREQ_VALUE0 STRING "108.333336" +// Retrieval info: PRIVATE: EXPLICIT_SWITCHOVER_COUNTER STRING "0" +// Retrieval info: PRIVATE: EXT_FEEDBACK_RADIO STRING "0" +// Retrieval info: PRIVATE: GLOCKED_COUNTER_EDIT_CHANGED STRING "1" +// Retrieval info: PRIVATE: GLOCKED_FEATURE_ENABLED STRING "1" +// Retrieval info: PRIVATE: GLOCKED_MODE_CHECK STRING "0" +// Retrieval info: PRIVATE: GLOCK_COUNTER_EDIT NUMERIC "1048575" +// Retrieval info: PRIVATE: HAS_MANUAL_SWITCHOVER STRING "1" +// Retrieval info: PRIVATE: INCLK0_FREQ_EDIT STRING "100.000" +// Retrieval info: PRIVATE: INCLK0_FREQ_UNIT_COMBO STRING "MHz" +// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT STRING "100.000" +// Retrieval info: PRIVATE: INCLK1_FREQ_EDIT_CHANGED STRING "1" +// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_CHANGED STRING "1" +// Retrieval info: PRIVATE: INCLK1_FREQ_UNIT_COMBO STRING "MHz" +// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone II" +// Retrieval info: PRIVATE: INT_FEEDBACK__MODE_RADIO STRING "1" +// Retrieval info: PRIVATE: LOCKED_OUTPUT_CHECK STRING "1" +// Retrieval info: PRIVATE: LONG_SCAN_RADIO STRING "1" +// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE STRING "Not Available" +// Retrieval info: PRIVATE: LVDS_MODE_DATA_RATE_DIRTY NUMERIC "0" +// Retrieval info: PRIVATE: LVDS_PHASE_SHIFT_UNIT0 STRING "deg" +// Retrieval info: PRIVATE: MIG_DEVICE_SPEED_GRADE STRING "Any" +// Retrieval info: PRIVATE: MIRROR_CLK0 STRING "0" +// Retrieval info: PRIVATE: MULT_FACTOR0 NUMERIC "13" +// Retrieval info: PRIVATE: NORMAL_MODE_RADIO STRING "1" +// Retrieval info: PRIVATE: OUTPUT_FREQ0 STRING "108.00000000" +// Retrieval info: PRIVATE: OUTPUT_FREQ_MODE0 STRING "0" +// Retrieval info: PRIVATE: OUTPUT_FREQ_UNIT0 STRING "MHz" +// Retrieval info: PRIVATE: PHASE_RECONFIG_FEATURE_ENABLED STRING "0" +// Retrieval info: PRIVATE: PHASE_RECONFIG_INPUTS_CHECK STRING "0" +// Retrieval info: PRIVATE: PHASE_SHIFT0 STRING "0.00000000" +// Retrieval info: PRIVATE: PHASE_SHIFT_STEP_ENABLED_CHECK STRING "0" +// Retrieval info: PRIVATE: PHASE_SHIFT_UNIT0 STRING "deg" +// Retrieval info: PRIVATE: PLL_ADVANCED_PARAM_CHECK STRING "0" +// Retrieval info: PRIVATE: PLL_ARESET_CHECK STRING "1" +// Retrieval info: PRIVATE: PLL_AUTOPLL_CHECK NUMERIC "1" +// Retrieval info: PRIVATE: PLL_ENA_CHECK STRING "0" +// Retrieval info: PRIVATE: PLL_ENHPLL_CHECK NUMERIC "0" +// Retrieval info: PRIVATE: PLL_FASTPLL_CHECK NUMERIC "0" +// Retrieval info: PRIVATE: PLL_FBMIMIC_CHECK STRING "0" +// Retrieval info: PRIVATE: PLL_LVDS_PLL_CHECK NUMERIC "0" +// Retrieval info: PRIVATE: PLL_PFDENA_CHECK STRING "0" +// Retrieval info: PRIVATE: PLL_TARGET_HARCOPY_CHECK NUMERIC "0" +// Retrieval info: PRIVATE: PRIMARY_CLK_COMBO STRING "inclk0" +// Retrieval info: PRIVATE: RECONFIG_FILE STRING "clkwiz.mif" +// Retrieval info: PRIVATE: SACN_INPUTS_CHECK STRING "0" +// Retrieval info: PRIVATE: SCAN_FEATURE_ENABLED STRING "0" +// Retrieval info: PRIVATE: SELF_RESET_LOCK_LOSS STRING "0" +// Retrieval info: PRIVATE: SHORT_SCAN_RADIO STRING "0" +// Retrieval info: PRIVATE: SPREAD_FEATURE_ENABLED STRING "0" +// Retrieval info: PRIVATE: SPREAD_FREQ STRING "50.000" +// Retrieval info: PRIVATE: SPREAD_FREQ_UNIT STRING "KHz" +// Retrieval info: PRIVATE: SPREAD_PERCENT STRING "0.500" +// Retrieval info: PRIVATE: SPREAD_USE STRING "0" +// Retrieval info: PRIVATE: SRC_SYNCH_COMP_RADIO STRING "0" +// Retrieval info: PRIVATE: STICKY_CLK0 STRING "1" +// Retrieval info: PRIVATE: SWITCHOVER_COUNT_EDIT NUMERIC "1" +// Retrieval info: PRIVATE: SWITCHOVER_FEATURE_ENABLED STRING "1" +// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" +// Retrieval info: PRIVATE: USE_CLK0 STRING "1" +// Retrieval info: PRIVATE: USE_CLKENA0 STRING "0" +// Retrieval info: PRIVATE: USE_MIL_SPEED_GRADE NUMERIC "0" +// Retrieval info: PRIVATE: ZERO_DELAY_RADIO STRING "0" +// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all +// Retrieval info: CONSTANT: CLK0_DIVIDE_BY NUMERIC "12" +// Retrieval info: CONSTANT: CLK0_DUTY_CYCLE NUMERIC "50" +// Retrieval info: CONSTANT: CLK0_MULTIPLY_BY NUMERIC "13" +// Retrieval info: CONSTANT: CLK0_PHASE_SHIFT STRING "0" +// Retrieval info: CONSTANT: COMPENSATE_CLOCK STRING "CLK0" +// Retrieval info: CONSTANT: GATE_LOCK_SIGNAL STRING "NO" +// Retrieval info: CONSTANT: INCLK0_INPUT_FREQUENCY NUMERIC "10000" +// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone II" +// Retrieval info: CONSTANT: INVALID_LOCK_MULTIPLIER NUMERIC "5" +// Retrieval info: CONSTANT: LPM_TYPE STRING "altpll" +// Retrieval info: CONSTANT: OPERATION_MODE STRING "NORMAL" +// Retrieval info: CONSTANT: PORT_ACTIVECLOCK STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_ARESET STRING "PORT_USED" +// Retrieval info: CONSTANT: PORT_CLKBAD0 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_CLKBAD1 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_CLKLOSS STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_CLKSWITCH STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_CONFIGUPDATE STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_FBIN STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_INCLK0 STRING "PORT_USED" +// Retrieval info: CONSTANT: PORT_INCLK1 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_LOCKED STRING "PORT_USED" +// Retrieval info: CONSTANT: PORT_PFDENA STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_PHASECOUNTERSELECT STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_PHASEDONE STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_PHASESTEP STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_PHASEUPDOWN STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_PLLENA STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_SCANACLR STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_SCANCLK STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_SCANCLKENA STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_SCANDATA STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_SCANDATAOUT STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_SCANDONE STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_SCANREAD STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_SCANWRITE STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clk0 STRING "PORT_USED" +// Retrieval info: CONSTANT: PORT_clk1 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clk2 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clk3 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clk4 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clk5 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clkena0 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clkena1 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clkena2 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clkena3 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clkena4 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_clkena5 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_extclk0 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_extclk1 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_extclk2 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: PORT_extclk3 STRING "PORT_UNUSED" +// Retrieval info: CONSTANT: VALID_LOCK_MULTIPLIER NUMERIC "1" +// Retrieval info: USED_PORT: @clk 0 0 6 0 OUTPUT_CLK_EXT VCC "@clk[5..0]" +// Retrieval info: USED_PORT: @extclk 0 0 4 0 OUTPUT_CLK_EXT VCC "@extclk[3..0]" +// Retrieval info: USED_PORT: areset 0 0 0 0 INPUT GND "areset" +// Retrieval info: USED_PORT: c0 0 0 0 0 OUTPUT_CLK_EXT VCC "c0" +// Retrieval info: USED_PORT: inclk0 0 0 0 0 INPUT_CLK_EXT GND "inclk0" +// Retrieval info: USED_PORT: locked 0 0 0 0 OUTPUT GND "locked" +// Retrieval info: CONNECT: @areset 0 0 0 0 areset 0 0 0 0 +// Retrieval info: CONNECT: @inclk 0 0 1 1 GND 0 0 0 0 +// Retrieval info: CONNECT: @inclk 0 0 1 0 inclk0 0 0 0 0 +// Retrieval info: CONNECT: c0 0 0 0 0 @clk 0 0 1 0 +// Retrieval info: CONNECT: locked 0 0 0 0 @locked 0 0 0 0 +// Retrieval info: GEN_FILE: TYPE_NORMAL clkwiz.v TRUE +// Retrieval info: GEN_FILE: TYPE_NORMAL clkwiz.ppf TRUE +// Retrieval info: GEN_FILE: TYPE_NORMAL clkwiz.inc FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL clkwiz.cmp FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL clkwiz.bsf FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL clkwiz_inst.v FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL clkwiz_bb.v TRUE +// Retrieval info: LIB_FILE: altera_mf +// Retrieval info: CBX_MODULE_PREFIX: ON ADDED cores/alt_vram.qip Index: cores/alt_vram.qip ================================================================== --- cores/alt_vram.qip +++ cores/alt_vram.qip @@ -0,0 +1,5 @@ +set_global_assignment -name IP_TOOL_NAME "RAM: 2-PORT" +set_global_assignment -name IP_TOOL_VERSION "18.1" +set_global_assignment -name IP_GENERATED_DEVICE_FAMILY "{Cyclone V}" +set_global_assignment -name VERILOG_FILE [file join $::quartus(qip_path) "alt_vram.v"] +set_global_assignment -name MISC_FILE [file join $::quartus(qip_path) "alt_vram_bb.v"] ADDED cores/alt_vram.v Index: cores/alt_vram.v ================================================================== --- cores/alt_vram.v +++ cores/alt_vram.v @@ -0,0 +1,244 @@ +// megafunction wizard: %RAM: 2-PORT% +// GENERATION: STANDARD +// VERSION: WM1.0 +// MODULE: altsyncram + +// ============================================================ +// File Name: alt_vram.v +// Megafunction Name(s): +// altsyncram +// +// Simulation Library Files(s): +// altera_mf +// ============================================================ +// ************************************************************ +// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! +// +// 18.1.0 Build 625 09/12/2018 SJ Lite Edition +// ************************************************************ + + +//Copyright (C) 2018 Intel Corporation. All rights reserved. +//Your use of Intel Corporation's design tools, logic functions +//and other software and tools, and its AMPP partner logic +//functions, and any output files from any of the foregoing +//(including device programming or simulation files), and any +//associated documentation or information are expressly subject +//to the terms and conditions of the Intel Program License +//Subscription Agreement, the Intel Quartus Prime License Agreement, +//the Intel FPGA IP License Agreement, or other applicable license +//agreement, including, without limitation, that your use is for +//the sole purpose of programming logic devices manufactured by +//Intel and sold by Intel or its authorized distributors. Please +//refer to the applicable agreement for further details. + + +// synopsys translate_off +`timescale 1 ps / 1 ps +// synopsys translate_on +module alt_vram ( + address_a, + address_b, + clock_a, + clock_b, + data_a, + data_b, + wren_a, + wren_b, + q_a, + q_b); + + input [14:0] address_a; + input [14:0] address_b; + input clock_a; + input clock_b; + input [31:0] data_a; + input [31:0] data_b; + input wren_a; + input wren_b; + output [31:0] q_a; + output [31:0] q_b; +`ifndef ALTERA_RESERVED_QIS +// synopsys translate_off +`endif + tri1 clock_a; + tri0 wren_a; + tri0 wren_b; +`ifndef ALTERA_RESERVED_QIS +// synopsys translate_on +`endif + + wire [31:0] sub_wire0; + wire [31:0] sub_wire1; + wire [31:0] q_a = sub_wire0[31:0]; + wire [31:0] q_b = sub_wire1[31:0]; + + altsyncram altsyncram_component ( + .address_a (address_a), + .address_b (address_b), + .clock0 (clock_a), + .clock1 (clock_b), + .data_a (data_a), + .data_b (data_b), + .wren_a (wren_a), + .wren_b (wren_b), + .q_a (sub_wire0), + .q_b (sub_wire1), + .aclr0 (1'b0), + .aclr1 (1'b0), + .addressstall_a (1'b0), + .addressstall_b (1'b0), + .byteena_a (1'b1), + .byteena_b (1'b1), + .clocken0 (1'b1), + .clocken1 (1'b1), + .clocken2 (1'b1), + .clocken3 (1'b1), + .eccstatus (), + .rden_a (1'b1), + .rden_b (1'b1)); + defparam + altsyncram_component.address_reg_b = "CLOCK1", + altsyncram_component.clock_enable_input_a = "BYPASS", + altsyncram_component.clock_enable_input_b = "BYPASS", + altsyncram_component.clock_enable_output_a = "BYPASS", + altsyncram_component.clock_enable_output_b = "BYPASS", + altsyncram_component.indata_reg_b = "CLOCK1", + altsyncram_component.intended_device_family = "Cyclone V", + altsyncram_component.lpm_type = "altsyncram", + altsyncram_component.numwords_a = 21504, + altsyncram_component.numwords_b = 21504, + altsyncram_component.operation_mode = "BIDIR_DUAL_PORT", + altsyncram_component.outdata_aclr_a = "NONE", + altsyncram_component.outdata_aclr_b = "NONE", + altsyncram_component.outdata_reg_a = "CLOCK0", + altsyncram_component.outdata_reg_b = "CLOCK1", + altsyncram_component.power_up_uninitialized = "FALSE", + altsyncram_component.read_during_write_mode_port_a = "NEW_DATA_NO_NBE_READ", + altsyncram_component.read_during_write_mode_port_b = "NEW_DATA_NO_NBE_READ", + altsyncram_component.widthad_a = 15, + altsyncram_component.widthad_b = 15, + altsyncram_component.width_a = 32, + altsyncram_component.width_b = 32, + altsyncram_component.width_byteena_a = 1, + altsyncram_component.width_byteena_b = 1, + altsyncram_component.wrcontrol_wraddress_reg_b = "CLOCK1"; + + +endmodule + +// ============================================================ +// CNX file retrieval info +// ============================================================ +// Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0" +// Retrieval info: PRIVATE: ADDRESSSTALL_B NUMERIC "0" +// Retrieval info: PRIVATE: BYTEENA_ACLR_A NUMERIC "0" +// Retrieval info: PRIVATE: BYTEENA_ACLR_B NUMERIC "0" +// Retrieval info: PRIVATE: BYTE_ENABLE_A NUMERIC "0" +// Retrieval info: PRIVATE: BYTE_ENABLE_B NUMERIC "0" +// Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8" +// Retrieval info: PRIVATE: BlankMemory NUMERIC "1" +// Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0" +// Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_B NUMERIC "0" +// Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0" +// Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_B NUMERIC "0" +// Retrieval info: PRIVATE: CLRdata NUMERIC "0" +// Retrieval info: PRIVATE: CLRq NUMERIC "0" +// Retrieval info: PRIVATE: CLRrdaddress NUMERIC "0" +// Retrieval info: PRIVATE: CLRrren NUMERIC "0" +// Retrieval info: PRIVATE: CLRwraddress NUMERIC "0" +// Retrieval info: PRIVATE: CLRwren NUMERIC "0" +// Retrieval info: PRIVATE: Clock NUMERIC "5" +// Retrieval info: PRIVATE: Clock_A NUMERIC "0" +// Retrieval info: PRIVATE: Clock_B NUMERIC "0" +// Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0" +// Retrieval info: PRIVATE: INDATA_ACLR_B NUMERIC "0" +// Retrieval info: PRIVATE: INDATA_REG_B NUMERIC "1" +// Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A" +// Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0" +// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone V" +// Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0" +// Retrieval info: PRIVATE: JTAG_ID STRING "NONE" +// Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0" +// Retrieval info: PRIVATE: MEMSIZE NUMERIC "688128" +// Retrieval info: PRIVATE: MEM_IN_BITS NUMERIC "0" +// Retrieval info: PRIVATE: MIFfilename STRING "" +// Retrieval info: PRIVATE: OPERATION_MODE NUMERIC "3" +// Retrieval info: PRIVATE: OUTDATA_ACLR_B NUMERIC "0" +// Retrieval info: PRIVATE: OUTDATA_REG_B NUMERIC "1" +// Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0" +// Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_MIXED_PORTS NUMERIC "2" +// Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_A NUMERIC "3" +// Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_B NUMERIC "3" +// Retrieval info: PRIVATE: REGdata NUMERIC "1" +// Retrieval info: PRIVATE: REGq NUMERIC "1" +// Retrieval info: PRIVATE: REGrdaddress NUMERIC "0" +// Retrieval info: PRIVATE: REGrren NUMERIC "0" +// Retrieval info: PRIVATE: REGwraddress NUMERIC "1" +// Retrieval info: PRIVATE: REGwren NUMERIC "1" +// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" +// Retrieval info: PRIVATE: USE_DIFF_CLKEN NUMERIC "0" +// Retrieval info: PRIVATE: UseDPRAM NUMERIC "1" +// Retrieval info: PRIVATE: VarWidth NUMERIC "0" +// Retrieval info: PRIVATE: WIDTH_READ_A NUMERIC "32" +// Retrieval info: PRIVATE: WIDTH_READ_B NUMERIC "32" +// Retrieval info: PRIVATE: WIDTH_WRITE_A NUMERIC "32" +// Retrieval info: PRIVATE: WIDTH_WRITE_B NUMERIC "32" +// Retrieval info: PRIVATE: WRADDR_ACLR_B NUMERIC "0" +// Retrieval info: PRIVATE: WRADDR_REG_B NUMERIC "1" +// Retrieval info: PRIVATE: WRCTRL_ACLR_B NUMERIC "0" +// Retrieval info: PRIVATE: enable NUMERIC "0" +// Retrieval info: PRIVATE: rden NUMERIC "0" +// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all +// Retrieval info: CONSTANT: ADDRESS_REG_B STRING "CLOCK1" +// Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS" +// Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_B STRING "BYPASS" +// Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS" +// Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_B STRING "BYPASS" +// Retrieval info: CONSTANT: INDATA_REG_B STRING "CLOCK1" +// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone V" +// Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram" +// Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "21504" +// Retrieval info: CONSTANT: NUMWORDS_B NUMERIC "21504" +// Retrieval info: CONSTANT: OPERATION_MODE STRING "BIDIR_DUAL_PORT" +// Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE" +// Retrieval info: CONSTANT: OUTDATA_ACLR_B STRING "NONE" +// Retrieval info: CONSTANT: OUTDATA_REG_A STRING "CLOCK0" +// Retrieval info: CONSTANT: OUTDATA_REG_B STRING "CLOCK1" +// Retrieval info: CONSTANT: POWER_UP_UNINITIALIZED STRING "FALSE" +// Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_PORT_A STRING "NEW_DATA_NO_NBE_READ" +// Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_PORT_B STRING "NEW_DATA_NO_NBE_READ" +// Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "15" +// Retrieval info: CONSTANT: WIDTHAD_B NUMERIC "15" +// Retrieval info: CONSTANT: WIDTH_A NUMERIC "32" +// Retrieval info: CONSTANT: WIDTH_B NUMERIC "32" +// Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1" +// Retrieval info: CONSTANT: WIDTH_BYTEENA_B NUMERIC "1" +// Retrieval info: CONSTANT: WRCONTROL_WRADDRESS_REG_B STRING "CLOCK1" +// Retrieval info: USED_PORT: address_a 0 0 15 0 INPUT NODEFVAL "address_a[14..0]" +// Retrieval info: USED_PORT: address_b 0 0 15 0 INPUT NODEFVAL "address_b[14..0]" +// Retrieval info: USED_PORT: clock_a 0 0 0 0 INPUT VCC "clock_a" +// Retrieval info: USED_PORT: clock_b 0 0 0 0 INPUT NODEFVAL "clock_b" +// Retrieval info: USED_PORT: data_a 0 0 32 0 INPUT NODEFVAL "data_a[31..0]" +// Retrieval info: USED_PORT: data_b 0 0 32 0 INPUT NODEFVAL "data_b[31..0]" +// Retrieval info: USED_PORT: q_a 0 0 32 0 OUTPUT NODEFVAL "q_a[31..0]" +// Retrieval info: USED_PORT: q_b 0 0 32 0 OUTPUT NODEFVAL "q_b[31..0]" +// Retrieval info: USED_PORT: wren_a 0 0 0 0 INPUT GND "wren_a" +// Retrieval info: USED_PORT: wren_b 0 0 0 0 INPUT GND "wren_b" +// Retrieval info: CONNECT: @address_a 0 0 15 0 address_a 0 0 15 0 +// Retrieval info: CONNECT: @address_b 0 0 15 0 address_b 0 0 15 0 +// Retrieval info: CONNECT: @clock0 0 0 0 0 clock_a 0 0 0 0 +// Retrieval info: CONNECT: @clock1 0 0 0 0 clock_b 0 0 0 0 +// Retrieval info: CONNECT: @data_a 0 0 32 0 data_a 0 0 32 0 +// Retrieval info: CONNECT: @data_b 0 0 32 0 data_b 0 0 32 0 +// Retrieval info: CONNECT: @wren_a 0 0 0 0 wren_a 0 0 0 0 +// Retrieval info: CONNECT: @wren_b 0 0 0 0 wren_b 0 0 0 0 +// Retrieval info: CONNECT: q_a 0 0 32 0 @q_a 0 0 32 0 +// Retrieval info: CONNECT: q_b 0 0 32 0 @q_b 0 0 32 0 +// Retrieval info: GEN_FILE: TYPE_NORMAL alt_vram.v TRUE +// Retrieval info: GEN_FILE: TYPE_NORMAL alt_vram.inc FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL alt_vram.cmp FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL alt_vram.bsf FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL alt_vram_inst.v FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL alt_vram_bb.v TRUE +// Retrieval info: LIB_FILE: altera_mf ADDED cores/alt_vram_bb.v Index: cores/alt_vram_bb.v ================================================================== --- cores/alt_vram_bb.v +++ cores/alt_vram_bb.v @@ -0,0 +1,182 @@ +// megafunction wizard: %RAM: 2-PORT%VBB% +// GENERATION: STANDARD +// VERSION: WM1.0 +// MODULE: altsyncram + +// ============================================================ +// File Name: alt_vram.v +// Megafunction Name(s): +// altsyncram +// +// Simulation Library Files(s): +// altera_mf +// ============================================================ +// ************************************************************ +// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE! +// +// 18.1.0 Build 625 09/12/2018 SJ Lite Edition +// ************************************************************ + +//Copyright (C) 2018 Intel Corporation. All rights reserved. +//Your use of Intel Corporation's design tools, logic functions +//and other software and tools, and its AMPP partner logic +//functions, and any output files from any of the foregoing +//(including device programming or simulation files), and any +//associated documentation or information are expressly subject +//to the terms and conditions of the Intel Program License +//Subscription Agreement, the Intel Quartus Prime License Agreement, +//the Intel FPGA IP License Agreement, or other applicable license +//agreement, including, without limitation, that your use is for +//the sole purpose of programming logic devices manufactured by +//Intel and sold by Intel or its authorized distributors. Please +//refer to the applicable agreement for further details. + +module alt_vram ( + address_a, + address_b, + clock_a, + clock_b, + data_a, + data_b, + wren_a, + wren_b, + q_a, + q_b); + + input [14:0] address_a; + input [14:0] address_b; + input clock_a; + input clock_b; + input [31:0] data_a; + input [31:0] data_b; + input wren_a; + input wren_b; + output [31:0] q_a; + output [31:0] q_b; +`ifndef ALTERA_RESERVED_QIS +// synopsys translate_off +`endif + tri1 clock_a; + tri0 wren_a; + tri0 wren_b; +`ifndef ALTERA_RESERVED_QIS +// synopsys translate_on +`endif + +endmodule + +// ============================================================ +// CNX file retrieval info +// ============================================================ +// Retrieval info: PRIVATE: ADDRESSSTALL_A NUMERIC "0" +// Retrieval info: PRIVATE: ADDRESSSTALL_B NUMERIC "0" +// Retrieval info: PRIVATE: BYTEENA_ACLR_A NUMERIC "0" +// Retrieval info: PRIVATE: BYTEENA_ACLR_B NUMERIC "0" +// Retrieval info: PRIVATE: BYTE_ENABLE_A NUMERIC "0" +// Retrieval info: PRIVATE: BYTE_ENABLE_B NUMERIC "0" +// Retrieval info: PRIVATE: BYTE_SIZE NUMERIC "8" +// Retrieval info: PRIVATE: BlankMemory NUMERIC "1" +// Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_A NUMERIC "0" +// Retrieval info: PRIVATE: CLOCK_ENABLE_INPUT_B NUMERIC "0" +// Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_A NUMERIC "0" +// Retrieval info: PRIVATE: CLOCK_ENABLE_OUTPUT_B NUMERIC "0" +// Retrieval info: PRIVATE: CLRdata NUMERIC "0" +// Retrieval info: PRIVATE: CLRq NUMERIC "0" +// Retrieval info: PRIVATE: CLRrdaddress NUMERIC "0" +// Retrieval info: PRIVATE: CLRrren NUMERIC "0" +// Retrieval info: PRIVATE: CLRwraddress NUMERIC "0" +// Retrieval info: PRIVATE: CLRwren NUMERIC "0" +// Retrieval info: PRIVATE: Clock NUMERIC "5" +// Retrieval info: PRIVATE: Clock_A NUMERIC "0" +// Retrieval info: PRIVATE: Clock_B NUMERIC "0" +// Retrieval info: PRIVATE: IMPLEMENT_IN_LES NUMERIC "0" +// Retrieval info: PRIVATE: INDATA_ACLR_B NUMERIC "0" +// Retrieval info: PRIVATE: INDATA_REG_B NUMERIC "1" +// Retrieval info: PRIVATE: INIT_FILE_LAYOUT STRING "PORT_A" +// Retrieval info: PRIVATE: INIT_TO_SIM_X NUMERIC "0" +// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone V" +// Retrieval info: PRIVATE: JTAG_ENABLED NUMERIC "0" +// Retrieval info: PRIVATE: JTAG_ID STRING "NONE" +// Retrieval info: PRIVATE: MAXIMUM_DEPTH NUMERIC "0" +// Retrieval info: PRIVATE: MEMSIZE NUMERIC "688128" +// Retrieval info: PRIVATE: MEM_IN_BITS NUMERIC "0" +// Retrieval info: PRIVATE: MIFfilename STRING "" +// Retrieval info: PRIVATE: OPERATION_MODE NUMERIC "3" +// Retrieval info: PRIVATE: OUTDATA_ACLR_B NUMERIC "0" +// Retrieval info: PRIVATE: OUTDATA_REG_B NUMERIC "1" +// Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0" +// Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_MIXED_PORTS NUMERIC "2" +// Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_A NUMERIC "3" +// Retrieval info: PRIVATE: READ_DURING_WRITE_MODE_PORT_B NUMERIC "3" +// Retrieval info: PRIVATE: REGdata NUMERIC "1" +// Retrieval info: PRIVATE: REGq NUMERIC "1" +// Retrieval info: PRIVATE: REGrdaddress NUMERIC "0" +// Retrieval info: PRIVATE: REGrren NUMERIC "0" +// Retrieval info: PRIVATE: REGwraddress NUMERIC "1" +// Retrieval info: PRIVATE: REGwren NUMERIC "1" +// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0" +// Retrieval info: PRIVATE: USE_DIFF_CLKEN NUMERIC "0" +// Retrieval info: PRIVATE: UseDPRAM NUMERIC "1" +// Retrieval info: PRIVATE: VarWidth NUMERIC "0" +// Retrieval info: PRIVATE: WIDTH_READ_A NUMERIC "32" +// Retrieval info: PRIVATE: WIDTH_READ_B NUMERIC "32" +// Retrieval info: PRIVATE: WIDTH_WRITE_A NUMERIC "32" +// Retrieval info: PRIVATE: WIDTH_WRITE_B NUMERIC "32" +// Retrieval info: PRIVATE: WRADDR_ACLR_B NUMERIC "0" +// Retrieval info: PRIVATE: WRADDR_REG_B NUMERIC "1" +// Retrieval info: PRIVATE: WRCTRL_ACLR_B NUMERIC "0" +// Retrieval info: PRIVATE: enable NUMERIC "0" +// Retrieval info: PRIVATE: rden NUMERIC "0" +// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all +// Retrieval info: CONSTANT: ADDRESS_REG_B STRING "CLOCK1" +// Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_A STRING "BYPASS" +// Retrieval info: CONSTANT: CLOCK_ENABLE_INPUT_B STRING "BYPASS" +// Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_A STRING "BYPASS" +// Retrieval info: CONSTANT: CLOCK_ENABLE_OUTPUT_B STRING "BYPASS" +// Retrieval info: CONSTANT: INDATA_REG_B STRING "CLOCK1" +// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone V" +// Retrieval info: CONSTANT: LPM_TYPE STRING "altsyncram" +// Retrieval info: CONSTANT: NUMWORDS_A NUMERIC "21504" +// Retrieval info: CONSTANT: NUMWORDS_B NUMERIC "21504" +// Retrieval info: CONSTANT: OPERATION_MODE STRING "BIDIR_DUAL_PORT" +// Retrieval info: CONSTANT: OUTDATA_ACLR_A STRING "NONE" +// Retrieval info: CONSTANT: OUTDATA_ACLR_B STRING "NONE" +// Retrieval info: CONSTANT: OUTDATA_REG_A STRING "CLOCK0" +// Retrieval info: CONSTANT: OUTDATA_REG_B STRING "CLOCK1" +// Retrieval info: CONSTANT: POWER_UP_UNINITIALIZED STRING "FALSE" +// Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_PORT_A STRING "NEW_DATA_NO_NBE_READ" +// Retrieval info: CONSTANT: READ_DURING_WRITE_MODE_PORT_B STRING "NEW_DATA_NO_NBE_READ" +// Retrieval info: CONSTANT: WIDTHAD_A NUMERIC "15" +// Retrieval info: CONSTANT: WIDTHAD_B NUMERIC "15" +// Retrieval info: CONSTANT: WIDTH_A NUMERIC "32" +// Retrieval info: CONSTANT: WIDTH_B NUMERIC "32" +// Retrieval info: CONSTANT: WIDTH_BYTEENA_A NUMERIC "1" +// Retrieval info: CONSTANT: WIDTH_BYTEENA_B NUMERIC "1" +// Retrieval info: CONSTANT: WRCONTROL_WRADDRESS_REG_B STRING "CLOCK1" +// Retrieval info: USED_PORT: address_a 0 0 15 0 INPUT NODEFVAL "address_a[14..0]" +// Retrieval info: USED_PORT: address_b 0 0 15 0 INPUT NODEFVAL "address_b[14..0]" +// Retrieval info: USED_PORT: clock_a 0 0 0 0 INPUT VCC "clock_a" +// Retrieval info: USED_PORT: clock_b 0 0 0 0 INPUT NODEFVAL "clock_b" +// Retrieval info: USED_PORT: data_a 0 0 32 0 INPUT NODEFVAL "data_a[31..0]" +// Retrieval info: USED_PORT: data_b 0 0 32 0 INPUT NODEFVAL "data_b[31..0]" +// Retrieval info: USED_PORT: q_a 0 0 32 0 OUTPUT NODEFVAL "q_a[31..0]" +// Retrieval info: USED_PORT: q_b 0 0 32 0 OUTPUT NODEFVAL "q_b[31..0]" +// Retrieval info: USED_PORT: wren_a 0 0 0 0 INPUT GND "wren_a" +// Retrieval info: USED_PORT: wren_b 0 0 0 0 INPUT GND "wren_b" +// Retrieval info: CONNECT: @address_a 0 0 15 0 address_a 0 0 15 0 +// Retrieval info: CONNECT: @address_b 0 0 15 0 address_b 0 0 15 0 +// Retrieval info: CONNECT: @clock0 0 0 0 0 clock_a 0 0 0 0 +// Retrieval info: CONNECT: @clock1 0 0 0 0 clock_b 0 0 0 0 +// Retrieval info: CONNECT: @data_a 0 0 32 0 data_a 0 0 32 0 +// Retrieval info: CONNECT: @data_b 0 0 32 0 data_b 0 0 32 0 +// Retrieval info: CONNECT: @wren_a 0 0 0 0 wren_a 0 0 0 0 +// Retrieval info: CONNECT: @wren_b 0 0 0 0 wren_b 0 0 0 0 +// Retrieval info: CONNECT: q_a 0 0 32 0 @q_a 0 0 32 0 +// Retrieval info: CONNECT: q_b 0 0 32 0 @q_b 0 0 32 0 +// Retrieval info: GEN_FILE: TYPE_NORMAL alt_vram.v TRUE +// Retrieval info: GEN_FILE: TYPE_NORMAL alt_vram.inc FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL alt_vram.cmp FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL alt_vram.bsf FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL alt_vram_inst.v FALSE +// Retrieval info: GEN_FILE: TYPE_NORMAL alt_vram_bb.v TRUE +// Retrieval info: LIB_FILE: altera_mf ADDED cos.sv Index: cos.sv ================================================================== --- cos.sv +++ cos.sv @@ -0,0 +1,46 @@ + +module cos ( + input [9:0] x, + output [7:0] y +); + +wire [7:0] qcos[0:255] = '{ + 8'b01111111, 8'b01111111, 8'b01111111, 8'b01111111, 8'b01111111, 8'b01111111, 8'b01111111, 8'b01111111, + 8'b01111111, 8'b01111111, 8'b01111111, 8'b01111111, 8'b01111111, 8'b01111111, 8'b01111111, 8'b01111111, + 8'b01111111, 8'b01111111, 8'b01111111, 8'b01111111, 8'b01111111, 8'b01111111, 8'b01111111, 8'b01111111, + 8'b01111110, 8'b01111110, 8'b01111110, 8'b01111110, 8'b01111110, 8'b01111110, 8'b01111110, 8'b01111110, + 8'b01111101, 8'b01111101, 8'b01111101, 8'b01111101, 8'b01111101, 8'b01111101, 8'b01111100, 8'b01111100, + 8'b01111100, 8'b01111100, 8'b01111100, 8'b01111011, 8'b01111011, 8'b01111011, 8'b01111011, 8'b01111010, + 8'b01111010, 8'b01111010, 8'b01111010, 8'b01111010, 8'b01111001, 8'b01111001, 8'b01111001, 8'b01111001, + 8'b01111000, 8'b01111000, 8'b01111000, 8'b01110111, 8'b01110111, 8'b01110111, 8'b01110111, 8'b01110110, + 8'b01110110, 8'b01110110, 8'b01110101, 8'b01110101, 8'b01110101, 8'b01110100, 8'b01110100, 8'b01110100, + 8'b01110011, 8'b01110011, 8'b01110011, 8'b01110010, 8'b01110010, 8'b01110010, 8'b01110001, 8'b01110001, + 8'b01110001, 8'b01110000, 8'b01110000, 8'b01101111, 8'b01101111, 8'b01101111, 8'b01101110, 8'b01101110, + 8'b01101101, 8'b01101101, 8'b01101101, 8'b01101100, 8'b01101100, 8'b01101011, 8'b01101011, 8'b01101010, + 8'b01101010, 8'b01101010, 8'b01101001, 8'b01101001, 8'b01101000, 8'b01101000, 8'b01100111, 8'b01100111, + 8'b01100110, 8'b01100110, 8'b01100101, 8'b01100101, 8'b01100100, 8'b01100100, 8'b01100011, 8'b01100011, + 8'b01100010, 8'b01100010, 8'b01100001, 8'b01100001, 8'b01100000, 8'b01100000, 8'b01011111, 8'b01011111, + 8'b01011110, 8'b01011110, 8'b01011101, 8'b01011101, 8'b01011100, 8'b01011100, 8'b01011011, 8'b01011011, + 8'b01011010, 8'b01011001, 8'b01011001, 8'b01011000, 8'b01011000, 8'b01010111, 8'b01010111, 8'b01010110, + 8'b01010101, 8'b01010101, 8'b01010100, 8'b01010100, 8'b01010011, 8'b01010010, 8'b01010010, 8'b01010001, + 8'b01010001, 8'b01010000, 8'b01001111, 8'b01001111, 8'b01001110, 8'b01001110, 8'b01001101, 8'b01001100, + 8'b01001100, 8'b01001011, 8'b01001010, 8'b01001010, 8'b01001001, 8'b01001000, 8'b01001000, 8'b01000111, + 8'b01000111, 8'b01000110, 8'b01000101, 8'b01000101, 8'b01000100, 8'b01000011, 8'b01000011, 8'b01000010, + 8'b01000001, 8'b01000001, 8'b01000000, 8'b00111111, 8'b00111110, 8'b00111110, 8'b00111101, 8'b00111100, + 8'b00111100, 8'b00111011, 8'b00111010, 8'b00111010, 8'b00111001, 8'b00111000, 8'b00111000, 8'b00110111, + 8'b00110110, 8'b00110101, 8'b00110101, 8'b00110100, 8'b00110011, 8'b00110011, 8'b00110010, 8'b00110001, + 8'b00110000, 8'b00110000, 8'b00101111, 8'b00101110, 8'b00101101, 8'b00101101, 8'b00101100, 8'b00101011, + 8'b00101010, 8'b00101010, 8'b00101001, 8'b00101000, 8'b00100111, 8'b00100111, 8'b00100110, 8'b00100101, + 8'b00100100, 8'b00100100, 8'b00100011, 8'b00100010, 8'b00100001, 8'b00100001, 8'b00100000, 8'b00011111, + 8'b00011110, 8'b00011110, 8'b00011101, 8'b00011100, 8'b00011011, 8'b00011011, 8'b00011010, 8'b00011001, + 8'b00011000, 8'b00011000, 8'b00010111, 8'b00010110, 8'b00010101, 8'b00010100, 8'b00010100, 8'b00010011, + 8'b00010010, 8'b00010001, 8'b00010001, 8'b00010000, 8'b00001111, 8'b00001110, 8'b00001101, 8'b00001101, + 8'b00001100, 8'b00001011, 8'b00001010, 8'b00001010, 8'b00001001, 8'b00001000, 8'b00000111, 8'b00000110, + 8'b00000110, 8'b00000101, 8'b00000100, 8'b00000011, 8'b00000010, 8'b00000010, 8'b00000001, 8'b00000000 +}; + +wire ival = ^x[9:8]; +assign y = qcos[x[7:0] ^ {8{x[8]}}] ^ {~ival,{7{ival}}}; + +endmodule + ADDED lfsr.v Index: lfsr.v ================================================================== --- lfsr.v +++ lfsr.v @@ -0,0 +1,16 @@ + +module lfsr( + output [N-1:0] rnd +); + +parameter N = 63; + +lcell lc0(~(rnd[N - 1] ^ rnd[N - 3] ^ rnd[N - 4] ^ rnd[N - 6] ^ rnd[N - 10]), rnd[0]); +generate + genvar i; + for (i = 0; i <= N - 2; i = i + 1) begin : lcn + lcell lc(rnd[i], rnd[i + 1]); + end +endgenerate + +endmodule Index: lm3.v ================================================================== --- lm3.v +++ lm3.v @@ -18,24 +18,32 @@ // ---!!! enable_vga / enable_hdmi (???) // ---!!! enable_spy_port // ---!!! enable_mmc // ---!!! enable_ps2 / enable_usb (???) // ---!!! enable_ethernet (???) +`define enable_vga +`define enable_mmc +`define enable_ps2 + `timescale 1ns/1ps `default_nettype none + module lm3(/*AUTOARG*/ // Outputs sdram_addr, sdram_data_cpu2rc, sdram_req, sdram_write, vram_cpu_addr, vram_cpu_data_out, vram_cpu_req, vram_cpu_write, - spy_reg, busint_spyout, spy_rd, spy_wr, disk_state, fetch, - prefetch, mcr_addr, mcr_data_out, mcr_write, mmc_cs, mmc_do, + spy_reg, busint_spyout, spy_rd, spy_wr, disk_state, + mcr_addr, mcr_data_out, mcr_write, mmc_cs, mmc_do, mmc_sclk, vram_vga_addr, vram_vga_req, vga_blank, vga_r, vga_g, - vga_b, vga_hsync, vga_vsync, rs232_txd, + vga_b, vga_hsync, vga_vsync, rs232_txd, o_audio, // Inouts - ms_ps2_clk, ms_ps2_data, + ps2_mouse_clk_out, + ps2_mouse_data_out, + ps2_mouse_clk_in, + ps2_mouse_data_in, // Inputs clk50, reset, sdram_data_rc2cpu, sdram_done, sdram_ready, vram_cpu_data_in, vram_cpu_done, vram_cpu_ready, cpu_clk, boot, halt, interrupt, mcr_data_in, mcr_ready, mcr_done, mmc_di, vram_vga_data_out, vram_vga_ready, vga_clk, kb_ps2_clk, @@ -128,12 +136,10 @@ // ---!!! PC is a dangling output? input boot; /// SUPPORT / RC input halt; /// SUPPORT / RC input interrupt; /// SUPPORT / RC - output fetch; - output prefetch; /// SUPPORT / RC output [13:0] mcr_addr; /// SUPPORT / RC output [48:0] mcr_data_out; /// SUPPORT / RC input [48:0] mcr_data_in; /// SUPPORT / RC input mcr_ready; /// SUPPORT / RC @@ -173,10 +179,12 @@ output vga_r; output vga_g; output vga_b; output vga_hsync; output vga_vsync; + + output [15:0] o_audio; // PS/2 //////////////////////////////////////////////////////////////////////////////// // output [11:0] ms_x; // output [11:0] ms_x; @@ -186,12 +194,14 @@ // output ms_ready; input kb_ps2_clk; input kb_ps2_data; - inout ms_ps2_clk; - inout ms_ps2_data; + output wire ps2_mouse_clk_out; + output wire ps2_mouse_data_out; + input wire ps2_mouse_clk_in; + input wire ps2_mouse_data_in; // SPY //////////////////////////////////////////////////////////////////////////////// // input [15:0] spy_out; // output [15:0] spy_in; @@ -259,11 +269,10 @@ wire bus_int; wire clk50; wire cpu_clk; wire dbread, dbwrite; wire dcm_reset; - wire fetch; wire halt; wire interrupt; wire kb_ps2_clk_in; wire kb_ps2_data_in; wire kb_ready; @@ -280,11 +289,10 @@ wire ms_ps2_data_out; wire ms_ps2_dir; wire ms_ready; wire vga_clk; wire vga_clk_locked; - wire prefetch; wire reset; wire rs232_rxd, rs232_txd; wire sdram_done; wire sdram_ready; wire sdram_req; @@ -352,10 +360,11 @@ .bd_addr (bd_addr[23:0]), .bd_rd (bd_rd), .bd_start (bd_start), .bd_wr (bd_wr), .disk_state (disk_state[4:0]), + .o_audio (o_audio), // Inputs .reset (reset), .sdram_done (sdram_done), .sdram_ready (sdram_ready), .bd_bsy (bd_bsy), @@ -367,11 +376,11 @@ .ms_x (ms_x[11:0]), .ms_y (ms_y[11:0]), .ms_button (ms_button[2:0]), .ms_ready (ms_ready)); // input ms_ready; - assign disk_state_in = busint.disk.state; + assign disk_state_in = disk_state; cadr cpu ( .clk(cpu_clk), // input clk; .ext_int(interrupt), // input ext_int; @@ -403,11 +412,13 @@ .busint_bus (busint_bus[31:0]), .bus_int (bus_int), .memack (memack), .set_promdisable (set_promdisable)); // input set_promdisable; +`define enable_mmc `ifdef enable_mmc + block_dev_mmc mmc_bd ( .clk(cpu_clk), // input clk; .mmcclk(clk50), // input mmcclk; .bd_data_in(bd_data_cpu2bd), // input [15:0] bd_data_in; @@ -430,11 +441,11 @@ .bd_wr (bd_wr), .mmc_di (mmc_di), .reset (reset)); // input reset; `endif -`ifdef enable_vga + vga_display vga ( .vram_addr(vram_vga_addr), // output [14:0] vram_addr; .vram_data(vram_vga_data_out), // input [31:0] vram_data; .vram_req(vram_vga_req), // output vram_req; @@ -448,18 +459,16 @@ .vga_vsync (vga_vsync), .vga_blank (vga_blank), // Inputs .vga_clk (vga_clk), .reset (reset)); -`endif - -`ifdef enable_ps2 - assign ms_ps2_clk_in = ms_ps2_clk; - assign ms_ps2_data_in = ms_ps2_data; - assign ms_ps2_clk = ms_ps2_dir ? ms_ps2_clk_out : 1'bz; - assign ms_ps2_data = ms_ps2_dir ? ms_ps2_data_out : 1'bz; - + + assign ms_ps2_clk_in = ps2_mouse_clk_in; + assign ms_ps2_data_in = ps2_mouse_clk_in; + assign ps2_mouse_clk_out = ms_ps2_clk_out; + assign ps2_mouse_data_out = ms_ps2_data_out; + ps2_support ps2_support ( .clk(cpu_clk), // input clk; .kb_ps2_clk_in(kb_ps2_clk), // input kb_ps2_clk_in; .kb_ps2_data_in(kb_ps2_data), // input kb_ps2_data_in; @@ -476,11 +485,10 @@ .ms_ps2_dir (ms_ps2_dir), // Inputs .reset (reset), .ms_ps2_clk_in (ms_ps2_clk_in), .ms_ps2_data_in (ms_ps2_data_in)); // input ms_ps2_data_in; -`endif `ifdef enable_spy_port spy_port spy_port ( .sysclk(clk50), // input sysclk; @@ -494,14 +502,17 @@ .dbwrite (dbwrite), .rs232_txd (rs232_txd), // Inputs .reset (reset), .rs232_rxd (rs232_rxd)); // input rs232_rxd; +`else +//assign rs232_rxd = 1'b0; +assign rs232_txd = 1'b0; `endif endmodule `default_nettype wire // Local Variables: // verilog-library-directories: (".") // End: ADDED pll.qip Index: pll.qip ================================================================== --- pll.qip +++ pll.qip @@ -0,0 +1,337 @@ +set_global_assignment -entity "pll" -library "pll" -name IP_TOOL_NAME "altera_pll" +set_global_assignment -entity "pll" -library "pll" -name IP_TOOL_VERSION "18.1" +set_global_assignment -entity "pll" -library "pll" -name IP_TOOL_ENV "mwpim" +set_global_assignment -library "pll" -name MISC_FILE [file join $::quartus(qip_path) "pll.cmp"] +set_global_assignment -entity "pll" -library "pll" -name IP_TARGETED_DEVICE_FAMILY "Cyclone V" +set_global_assignment -entity "pll" -library "pll" -name IP_GENERATED_DEVICE_FAMILY "{Cyclone V}" +set_global_assignment -entity "pll" -library "pll" -name IP_QSYS_MODE "UNKNOWN" +set_global_assignment -name SYNTHESIS_ONLY_QIP ON +set_global_assignment -entity "pll" -library "pll" -name IP_COMPONENT_NAME "cGxs" +set_global_assignment -entity "pll" -library "pll" -name IP_COMPONENT_DISPLAY_NAME "UExMIEludGVsIEZQR0EgSVA=" +set_global_assignment -entity "pll" -library "pll" -name IP_COMPONENT_REPORT_HIERARCHY "Off" +set_global_assignment -entity "pll" -library "pll" -name IP_COMPONENT_INTERNAL "Off" +set_global_assignment -entity "pll" -library "pll" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24=" +set_global_assignment -entity "pll" -library "pll" -name IP_COMPONENT_VERSION "MTguMQ==" +set_global_assignment -entity "pll" -library "pll" -name IP_COMPONENT_DESCRIPTION "SW50ZWwgUGhhc2UtTG9ja2VkIExvb3A=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_NAME "cGxsXzAwMDI=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_DISPLAY_NAME "UExMIEludGVsIEZQR0EgSVA=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_REPORT_HIERARCHY "Off" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_INTERNAL "Off" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_AUTHOR "SW50ZWwgQ29ycG9yYXRpb24=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_VERSION "MTguMQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_DESCRIPTION "SW50ZWwgUGhhc2UtTG9ja2VkIExvb3A=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZGVidWdfcHJpbnRfb3V0cHV0::ZmFsc2U=::ZGVidWdfcHJpbnRfb3V0cHV0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZGVidWdfdXNlX3JiY190YWZfbWV0aG9k::ZmFsc2U=::ZGVidWdfdXNlX3JiY190YWZfbWV0aG9k" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZGV2aWNl::NUNFQkEyRjE3QTc=::ZGV2aWNl" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BsbF9tb2Rl::SW50ZWdlci1OIFBMTA==::UExMIE1vZGU=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZnJhY3Rpb25hbF92Y29fbXVsdGlwbGllcg==::ZmFsc2U=::ZnJhY3Rpb25hbF92Y29fbXVsdGlwbGllcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3JlZmVyZW5jZV9jbG9ja19mcmVxdWVuY3k=::NTAuMA==::UmVmZXJlbmNlIENsb2NrIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cmVmZXJlbmNlX2Nsb2NrX2ZyZXF1ZW5jeQ==::NTAuMCBNSHo=::cmVmZXJlbmNlX2Nsb2NrX2ZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2NoYW5uZWxfc3BhY2luZw==::MC4w::Q2hhbm5lbCBTcGFjaW5n" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX29wZXJhdGlvbl9tb2Rl::ZGlyZWN0::T3BlcmF0aW9uIE1vZGU=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2ZlZWRiYWNrX2Nsb2Nr::R2xvYmFsIENsb2Nr::RmVlZGJhY2sgQ2xvY2s=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2ZyYWN0aW9uYWxfY291dA==::MzI=::RnJhY3Rpb25hbCBjYXJyeSBvdXQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RzbV9vdXRfc2Vs::MXN0X29yZGVy::RFNNIE9yZGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3BlcmF0aW9uX21vZGU=::ZGlyZWN0::b3BlcmF0aW9uX21vZGU=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3VzZV9sb2NrZWQ=::dHJ1ZQ==::RW5hYmxlIGxvY2tlZCBvdXRwdXQgcG9ydA==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2VuX2Fkdl9wYXJhbXM=::ZmFsc2U=::RW5hYmxlIHBoeXNpY2FsIG91dHB1dCBjbG9jayBwYXJhbWV0ZXJz" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX251bWJlcl9vZl9jbG9ja3M=::Mw==::TnVtYmVyIE9mIENsb2Nrcw==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "bnVtYmVyX29mX2Nsb2Nrcw==::Mw==::bnVtYmVyX29mX2Nsb2Nrcw==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX211bHRpcGx5X2ZhY3Rvcg==::MQ==::TXVsdGlwbHkgRmFjdG9yIChNLUNvdW50ZXIp" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2ZyYWNfbXVsdGlwbHlfZmFjdG9y::MQ==::RnJhY3Rpb25hbCBNdWx0aXBseSBGYWN0b3IgKEsp" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3Jfbg==::MQ==::RGl2aWRlIEZhY3RvciAoTi1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjA=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kw::NTAuMA==::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzA=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3Iw::MTM=::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjA=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMA==::MTM=::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MA==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMA==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MA==::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzA=::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDA=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUw::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjE=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kx::MTA4LjMzMzMzMw==::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzE=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3Ix::MTM=::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjE=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMQ==::Ng==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MQ==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMQ==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MQ==::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzE=::LTYwLjA=::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDE=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUx::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjI=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3ky::MjUuMA==::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzI=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3Iy::MTM=::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjI=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMg==::MjY=::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5Mg==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMg==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0Mg==::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzI=::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDI=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUy::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjM=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kz::MTAuMA==::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzM=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3Iz::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjM=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMw==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5Mw==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMw==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0Mw==::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzM=::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDM=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUz::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjQ=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k0::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzQ=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I0::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjQ=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yNA==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5NA==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzNA==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0NA==::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzQ=::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDQ=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU0::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjU=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k1::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzU=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I1::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjU=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yNQ==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5NQ==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzNQ==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0NQ==::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzU=::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDU=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU1::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjY=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k2::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzY=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I2::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjY=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yNg==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5Ng==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzNg==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0Ng==::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzY=::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDY=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU2::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjc=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k3::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzc=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I3::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3Rvcjc=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yNw==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5Nw==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzNw==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0Nw==::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzc=::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDc=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU3::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjg=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k4::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzg=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I4::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3Rvcjg=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yOA==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5OA==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzOA==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0OA==::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzg=::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDg=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU4::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjk=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k5::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzk=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I5::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3Rvcjk=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yOQ==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5OQ==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzOQ==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0OQ==::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzk=::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDk=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU5::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjEw::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxMA==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzEw::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxMA==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjEw::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTA=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTA=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTA=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTA=::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzEw::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDEw::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxMA==::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjEx::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxMQ==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzEx::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxMQ==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjEx::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTE=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTE=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTE=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTE=::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzEx::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDEx::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxMQ==::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjEy::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxMg==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzEy::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxMg==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjEy::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTI=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTI=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTI=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTI=::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzEy::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDEy::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxMg==::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjEz::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxMw==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzEz::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxMw==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjEz::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTM=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTM=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTM=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTM=::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzEz::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDEz::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxMw==::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjE0::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxNA==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzE0::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxNA==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjE0::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTQ=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTQ=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTQ=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTQ=::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzE0::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDE0::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxNA==::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjE1::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxNQ==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzE1::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxNQ==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjE1::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTU=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTU=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTU=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTU=::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzE1::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDE1::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxNQ==::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjE2::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxNg==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzE2::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxNg==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjE2::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTY=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTY=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTY=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTY=::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzE2::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDE2::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxNg==::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjE3::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxNw==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzE3::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxNw==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjE3::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTc=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTc=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTc=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTc=::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzE3::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDE3::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxNw==::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTA=::NTAuMDAwMDAwIE1Ieg==::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTA=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQw::MCBwcw==::cGhhc2Vfc2hpZnQw" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTA=::NTA=::ZHV0eV9jeWNsZTA=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE=::MTA4LjMzMzMzMyBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQx::MCBwcw==::cGhhc2Vfc2hpZnQx" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTE=::NTA=::ZHV0eV9jeWNsZTE=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTI=::MjUuMDAwMDAwIE1Ieg==::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTI=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQy::MCBwcw==::cGhhc2Vfc2hpZnQy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTI=::NTA=::ZHV0eV9jeWNsZTI=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTM=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQz::MCBwcw==::cGhhc2Vfc2hpZnQz" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTM=::NTA=::ZHV0eV9jeWNsZTM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTQ=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ0::MCBwcw==::cGhhc2Vfc2hpZnQ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTQ=::NTA=::ZHV0eV9jeWNsZTQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTU=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTU=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ1::MCBwcw==::cGhhc2Vfc2hpZnQ1" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTU=::NTA=::ZHV0eV9jeWNsZTU=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTY=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTY=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ2::MCBwcw==::cGhhc2Vfc2hpZnQ2" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTY=::NTA=::ZHV0eV9jeWNsZTY=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTc=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTc=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ3::MCBwcw==::cGhhc2Vfc2hpZnQ3" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTc=::NTA=::ZHV0eV9jeWNsZTc=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTg=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTg=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ4::MCBwcw==::cGhhc2Vfc2hpZnQ4" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTg=::NTA=::ZHV0eV9jeWNsZTg=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTk=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTk=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ5::MCBwcw==::cGhhc2Vfc2hpZnQ5" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTk=::NTA=::ZHV0eV9jeWNsZTk=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEw::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEw" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxMA==::MCBwcw==::cGhhc2Vfc2hpZnQxMA==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTEw::NTA=::ZHV0eV9jeWNsZTEw" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEx::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEx" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxMQ==::MCBwcw==::cGhhc2Vfc2hpZnQxMQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTEx::NTA=::ZHV0eV9jeWNsZTEx" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEy::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxMg==::MCBwcw==::cGhhc2Vfc2hpZnQxMg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTEy::NTA=::ZHV0eV9jeWNsZTEy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEz::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEz" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxMw==::MCBwcw==::cGhhc2Vfc2hpZnQxMw==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTEz::NTA=::ZHV0eV9jeWNsZTEz" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE0::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxNA==::MCBwcw==::cGhhc2Vfc2hpZnQxNA==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTE0::NTA=::ZHV0eV9jeWNsZTE0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE1::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE1" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxNQ==::MCBwcw==::cGhhc2Vfc2hpZnQxNQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTE1::NTA=::ZHV0eV9jeWNsZTE1" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE2::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE2" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxNg==::MCBwcw==::cGhhc2Vfc2hpZnQxNg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTE2::NTA=::ZHV0eV9jeWNsZTE2" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE3::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE3" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxNw==::MCBwcw==::cGhhc2Vfc2hpZnQxNw==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTE3::NTA=::ZHV0eV9jeWNsZTE3" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BsbF9hdXRvX3Jlc2V0::T24=::UExMIEF1dG8gUmVzZXQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BsbF9iYW5kd2lkdGhfcHJlc2V0::QXV0bw==::UExMIEJhbmR3aWR0aCBQcmVzZXQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2VuX3JlY29uZg==::ZmFsc2U=::RW5hYmxlIGR5bmFtaWMgcmVjb25maWd1cmF0aW9uIG9mIFBMTA==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2VuX2Rwc19wb3J0cw==::ZmFsc2U=::RW5hYmxlIGFjY2VzcyB0byBkeW5hbWljIHBoYXNlIHNoaWZ0IHBvcnRz" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2VuX3Bob3V0X3BvcnRz::ZmFsc2U=::RW5hYmxlIGFjY2VzcyB0byBQTEwgRFBBIG91dHB1dCBwb3J0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGxsX3R5cGU=::R2VuZXJhbA==::UExMIFRZUEU=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGxsX3N1YnR5cGU=::R2VuZXJhbA==::UExMIFNVQlRZUEU=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BhcmFtZXRlcl9saXN0::TS1Db3VudGVyIEhpIERpdmlkZSxNLUNvdW50ZXIgTG93IERpdmlkZSxOLUNvdW50ZXIgSGkgRGl2aWRlLE4tQ291bnRlciBMb3cgRGl2aWRlLE0tQ291bnRlciBCeXBhc3MgRW5hYmxlLE4tQ291bnRlciBCeXBhc3MgRW5hYmxlLE0tQ291bnRlciBPZGQgRGl2aWRlIEVuYWJsZSxOLUNvdW50ZXIgT2RkIERpdmlkZSBFbmFibGUsQy1Db3VudGVyLTAgSGkgRGl2aWRlLEMtQ291bnRlci0wIExvdyBEaXZpZGUsQy1Db3VudGVyLTAgQ29hcnNlIFBoYXNlIFNoaWZ0LEMtQ291bnRlci0wIFZDTyBQaGFzZSBUYXAsQy1Db3VudGVyLTAgSW5wdXQgU291cmNlLEMtQ291bnRlci0wIEJ5cGFzcyBFbmFibGUsQy1Db3VudGVyLTAgT2RkIERpdmlkZSBFbmFibGUsQy1Db3VudGVyLTEgSGkgRGl2aWRlLEMtQ291bnRlci0xIExvdyBEaXZpZGUsQy1Db3VudGVyLTEgQ29hcnNlIFBoYXNlIFNoaWZ0LEMtQ291bnRlci0xIFZDTyBQaGFzZSBUYXAsQy1Db3VudGVyLTEgSW5wdXQgU291cmNlLEMtQ291bnRlci0xIEJ5cGFzcyBFbmFibGUsQy1Db3VudGVyLTEgT2RkIERpdmlkZSBFbmFibGUsQy1Db3VudGVyLTIgSGkgRGl2aWRlLEMtQ291bnRlci0yIExvdyBEaXZpZGUsQy1Db3VudGVyLTIgQ29hcnNlIFBoYXNlIFNoaWZ0LEMtQ291bnRlci0yIFZDTyBQaGFzZSBUYXAsQy1Db3VudGVyLTIgSW5wdXQgU291cmNlLEMtQ291bnRlci0yIEJ5cGFzcyBFbmFibGUsQy1Db3VudGVyLTIgT2RkIERpdmlkZSBFbmFibGUsVkNPIFBvc3QgRGl2aWRlIENvdW50ZXIgRW5hYmxlLENoYXJnZSBQdW1wIGN1cnJlbnQgKHVBKSxMb29wIEZpbHRlciBCYW5kd2lkdGggUmVzaXN0b3IgKE9obXMpICxQTEwgT3V0cHV0IFZDTyBGcmVxdWVuY3ksSy1GcmFjdGlvbmFsIERpdmlzaW9uIFZhbHVlIChEU00pLEZlZWRiYWNrIENsb2NrIFR5cGUsRmVlZGJhY2sgQ2xvY2sgTVVYIDEsRmVlZGJhY2sgQ2xvY2sgTVVYIDIsTSBDb3VudGVyIFNvdXJjZSBNVVgsUExMIEF1dG8gUmVzZXQ=::UGFyYW1ldGVyIE5hbWVz" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BhcmFtZXRlcl92YWx1ZXM=::Nyw2LDI1NiwyNTYsZmFsc2UsdHJ1ZSx0cnVlLGZhbHNlLDcsNiwxLDAscGhfbXV4X2NsayxmYWxzZSx0cnVlLDMsMywxLDAscGhfbXV4X2NsayxmYWxzZSxmYWxzZSwxMywxMywxLDAscGhfbXV4X2NsayxmYWxzZSxmYWxzZSwxLDMwLDIwMDAsNjUwLjAgTUh6LDEsbm9uZSxnbGIsbV9jbnQscGhfbXV4X2Nsayx0cnVl::UGFyYW1ldGVyIFZhbHVlcw==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX21pZl9nZW5lcmF0ZQ==::ZmFsc2U=::R2VuZXJhdGUgTUlGIGZpbGU=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2VuYWJsZV9taWZfZHBz::ZmFsc2U=::RW5hYmxlIER5bmFtaWMgUGhhc2UgU2hpZnQgZm9yIE1JRiBzdHJlYW1pbmc=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Rwc19jbnRy::QzA=::RFBTIENvdW50ZXIgU2VsZWN0aW9u" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Rwc19udW0=::MQ==::TnVtYmVyIG9mIER5bmFtaWMgUGhhc2UgU2hpZnRz" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Rwc19kaXI=::UG9zaXRpdmU=::RHluYW1pYyBQaGFzZSBTaGlmdCBEaXJlY3Rpb24=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3JlZmNsa19zd2l0Y2g=::ZmFsc2U=::Q3JlYXRlIGEgc2Vjb25kIGlucHV0IGNsayAncmVmY2xrMSc=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2VuYWJsZV9jYXNjYWRlX291dA==::ZmFsc2U=::Q3JlYXRlIGEgJ2Nhc2NhZGVfb3V0JyBzaWduYWwgdG8gY29ubmVjdCB3aXRoIGEgZG93bnN0cmVhbSBQTEw=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2VuYWJsZV9jYXNjYWRlX2lu::ZmFsc2U=::Q3JlYXRlIGFuIGFkanBsbGluIG9yIGNjbGsgc2lnbmFsIHRvIGNvbm5lY3Qgd2l0aCBhbiB1cHN0cmVhbSBQTEw=" + +set_global_assignment -library "pll" -name VERILOG_FILE [file join $::quartus(qip_path) "pll.v"] +set_global_assignment -library "pll" -name VERILOG_FILE [file join $::quartus(qip_path) "pll/pll_0002.v"] +set_global_assignment -library "pll" -name QIP_FILE [file join $::quartus(qip_path) "pll/pll_0002.qip"] + +set_global_assignment -entity "pll_0002" -library "pll" -name IP_TOOL_NAME "altera_pll" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_TOOL_VERSION "18.1" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_TOOL_ENV "mwpim" ADDED pll.v Index: pll.v ================================================================== --- pll.v +++ pll.v @@ -0,0 +1,257 @@ +// megafunction wizard: %PLL Intel FPGA IP v18.1% +// GENERATION: XML +// pll.v + +// Generated using ACDS version 18.1 625 + +`timescale 1 ps / 1 ps +module pll ( + input wire refclk, // refclk.clk + input wire rst, // reset.reset + output wire outclk_0, // outclk0.clk + output wire outclk_1, // outclk1.clk + output wire outclk_2, // outclk2.clk + output wire locked // locked.export + ); + + pll_0002 pll_inst ( + .refclk (refclk), // refclk.clk + .rst (rst), // reset.reset + .outclk_0 (outclk_0), // outclk0.clk + .outclk_1 (outclk_1), // outclk1.clk + .outclk_2 (outclk_2), // outclk2.clk + .locked (locked) // locked.export + ); + +endmodule +// Retrieval info: +// +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// Retrieval info: +// IPFS_FILES : pll.vo +// RELATED_FILES: pll.v, pll_0002.v ADDED pll/pll_0002.qip Index: pll/pll_0002.qip ================================================================== --- pll/pll_0002.qip +++ pll/pll_0002.qip @@ -0,0 +1,4 @@ +set_instance_assignment -name PLL_COMPENSATION_MODE DIRECT -to "*pll_0002*|altera_pll:altera_pll_i*|*" + +set_instance_assignment -name PLL_AUTO_RESET ON -to "*pll_0002*|altera_pll:altera_pll_i*|*" +set_instance_assignment -name PLL_BANDWIDTH_PRESET AUTO -to "*pll_0002*|altera_pll:altera_pll_i*|*" ADDED pll/pll_0002.v Index: pll/pll_0002.v ================================================================== --- pll/pll_0002.v +++ pll/pll_0002.v @@ -0,0 +1,93 @@ +`timescale 1ns/10ps +module pll_0002( + + // interface 'refclk' + input wire refclk, + + // interface 'reset' + input wire rst, + + // interface 'outclk0' + output wire outclk_0, + + // interface 'outclk1' + output wire outclk_1, + + // interface 'outclk2' + output wire outclk_2, + + // interface 'locked' + output wire locked +); + + altera_pll #( + .fractional_vco_multiplier("false"), + .reference_clock_frequency("50.0 MHz"), + .operation_mode("direct"), + .number_of_clocks(3), + .output_clock_frequency0("50.000000 MHz"), + .phase_shift0("0 ps"), + .duty_cycle0(50), + .output_clock_frequency1("108.333333 MHz"), + .phase_shift1("0 ps"), + .duty_cycle1(50), + .output_clock_frequency2("25.000000 MHz"), + .phase_shift2("0 ps"), + .duty_cycle2(50), + .output_clock_frequency3("0 MHz"), + .phase_shift3("0 ps"), + .duty_cycle3(50), + .output_clock_frequency4("0 MHz"), + .phase_shift4("0 ps"), + .duty_cycle4(50), + .output_clock_frequency5("0 MHz"), + .phase_shift5("0 ps"), + .duty_cycle5(50), + .output_clock_frequency6("0 MHz"), + .phase_shift6("0 ps"), + .duty_cycle6(50), + .output_clock_frequency7("0 MHz"), + .phase_shift7("0 ps"), + .duty_cycle7(50), + .output_clock_frequency8("0 MHz"), + .phase_shift8("0 ps"), + .duty_cycle8(50), + .output_clock_frequency9("0 MHz"), + .phase_shift9("0 ps"), + .duty_cycle9(50), + .output_clock_frequency10("0 MHz"), + .phase_shift10("0 ps"), + .duty_cycle10(50), + .output_clock_frequency11("0 MHz"), + .phase_shift11("0 ps"), + .duty_cycle11(50), + .output_clock_frequency12("0 MHz"), + .phase_shift12("0 ps"), + .duty_cycle12(50), + .output_clock_frequency13("0 MHz"), + .phase_shift13("0 ps"), + .duty_cycle13(50), + .output_clock_frequency14("0 MHz"), + .phase_shift14("0 ps"), + .duty_cycle14(50), + .output_clock_frequency15("0 MHz"), + .phase_shift15("0 ps"), + .duty_cycle15(50), + .output_clock_frequency16("0 MHz"), + .phase_shift16("0 ps"), + .duty_cycle16(50), + .output_clock_frequency17("0 MHz"), + .phase_shift17("0 ps"), + .duty_cycle17(50), + .pll_type("General"), + .pll_subtype("General") + ) altera_pll_i ( + .rst (rst), + .outclk ({outclk_2, outclk_1, outclk_0}), + .locked (locked), + .fboutclk ( ), + .fbclk (1'b0), + .refclk (refclk) + ); +endmodule + ADDED pll17.qip Index: pll17.qip ================================================================== --- pll17.qip +++ pll17.qip @@ -0,0 +1,337 @@ +set_global_assignment -entity "pll" -library "pll" -name IP_TOOL_NAME "altera_pll" +set_global_assignment -entity "pll" -library "pll" -name IP_TOOL_VERSION "17.0" +set_global_assignment -entity "pll" -library "pll" -name IP_TOOL_ENV "mwpim" +set_global_assignment -library "pll" -name MISC_FILE [file join $::quartus(qip_path) "pll.cmp"] +set_global_assignment -entity "pll" -library "pll" -name IP_TARGETED_DEVICE_FAMILY "Cyclone V" +set_global_assignment -entity "pll" -library "pll" -name IP_GENERATED_DEVICE_FAMILY "{Cyclone V}" +set_global_assignment -entity "pll" -library "pll" -name IP_QSYS_MODE "UNKNOWN" +set_global_assignment -name SYNTHESIS_ONLY_QIP ON +set_global_assignment -entity "pll" -library "pll" -name IP_COMPONENT_NAME "cGxs" +set_global_assignment -entity "pll" -library "pll" -name IP_COMPONENT_DISPLAY_NAME "QWx0ZXJhIFBMTA==" +set_global_assignment -entity "pll" -library "pll" -name IP_COMPONENT_REPORT_HIERARCHY "Off" +set_global_assignment -entity "pll" -library "pll" -name IP_COMPONENT_INTERNAL "Off" +set_global_assignment -entity "pll" -library "pll" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u" +set_global_assignment -entity "pll" -library "pll" -name IP_COMPONENT_VERSION "MTcuMA==" +set_global_assignment -entity "pll" -library "pll" -name IP_COMPONENT_DESCRIPTION "QWx0ZXJhIFBoYXNlLUxvY2tlZCBMb29wIChBTFRFUkFfUExMKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_NAME "cGxsXzAwMDI=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_DISPLAY_NAME "QWx0ZXJhIFBMTA==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_REPORT_HIERARCHY "Off" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_INTERNAL "Off" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_AUTHOR "QWx0ZXJhIENvcnBvcmF0aW9u" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_VERSION "MTcuMA==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_DESCRIPTION "QWx0ZXJhIFBoYXNlLUxvY2tlZCBMb29wIChBTFRFUkFfUExMKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZGVidWdfcHJpbnRfb3V0cHV0::ZmFsc2U=::ZGVidWdfcHJpbnRfb3V0cHV0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZGVidWdfdXNlX3JiY190YWZfbWV0aG9k::ZmFsc2U=::ZGVidWdfdXNlX3JiY190YWZfbWV0aG9k" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZGV2aWNl::NUNFQkEyRjE3QTc=::ZGV2aWNl" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BsbF9tb2Rl::SW50ZWdlci1OIFBMTA==::UExMIE1vZGU=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZnJhY3Rpb25hbF92Y29fbXVsdGlwbGllcg==::ZmFsc2U=::ZnJhY3Rpb25hbF92Y29fbXVsdGlwbGllcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3JlZmVyZW5jZV9jbG9ja19mcmVxdWVuY3k=::NTAuMA==::UmVmZXJlbmNlIENsb2NrIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cmVmZXJlbmNlX2Nsb2NrX2ZyZXF1ZW5jeQ==::NTAuMCBNSHo=::cmVmZXJlbmNlX2Nsb2NrX2ZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2NoYW5uZWxfc3BhY2luZw==::MC4w::Q2hhbm5lbCBTcGFjaW5n" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX29wZXJhdGlvbl9tb2Rl::ZGlyZWN0::T3BlcmF0aW9uIE1vZGU=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2ZlZWRiYWNrX2Nsb2Nr::R2xvYmFsIENsb2Nr::RmVlZGJhY2sgQ2xvY2s=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2ZyYWN0aW9uYWxfY291dA==::MzI=::RnJhY3Rpb25hbCBjYXJyeSBvdXQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RzbV9vdXRfc2Vs::MXN0X29yZGVy::RFNNIE9yZGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3BlcmF0aW9uX21vZGU=::ZGlyZWN0::b3BlcmF0aW9uX21vZGU=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3VzZV9sb2NrZWQ=::dHJ1ZQ==::RW5hYmxlIGxvY2tlZCBvdXRwdXQgcG9ydA==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2VuX2Fkdl9wYXJhbXM=::ZmFsc2U=::RW5hYmxlIHBoeXNpY2FsIG91dHB1dCBjbG9jayBwYXJhbWV0ZXJz" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX251bWJlcl9vZl9jbG9ja3M=::MQ==::TnVtYmVyIE9mIENsb2Nrcw==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "bnVtYmVyX29mX2Nsb2Nrcw==::MQ==::bnVtYmVyX29mX2Nsb2Nrcw==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX211bHRpcGx5X2ZhY3Rvcg==::MQ==::TXVsdGlwbHkgRmFjdG9yIChNLUNvdW50ZXIp" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2ZyYWNfbXVsdGlwbHlfZmFjdG9y::MQ==::RnJhY3Rpb25hbCBNdWx0aXBseSBGYWN0b3IgKEsp" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3Jfbg==::MQ==::RGl2aWRlIEZhY3RvciAoTi1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjA=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kw::MjAuMA==::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzA=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3Iw::Ng==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjA=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMA==::MTU=::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MA==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMA==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MA==::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzA=::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDA=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUw::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjE=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kx::MjAuMA==::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzE=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3Ix::Ng==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjE=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMQ==::MTU=::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MQ==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMQ==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MQ==::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzE=::LTYwLjA=::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDE=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUx::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjI=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3ky::MjAuMA==::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzI=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3Iy::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjI=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMg==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5Mg==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMg==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0Mg==::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzI=::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDI=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUy::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjM=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kz::MTAuMA==::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzM=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3Iz::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjM=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMw==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5Mw==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMw==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0Mw==::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzM=::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDM=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUz::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjQ=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k0::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzQ=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I0::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjQ=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yNA==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5NA==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzNA==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0NA==::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzQ=::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDQ=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU0::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjU=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k1::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzU=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I1::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjU=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yNQ==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5NQ==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzNQ==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0NQ==::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzU=::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDU=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU1::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjY=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k2::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzY=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I2::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjY=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yNg==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5Ng==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzNg==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0Ng==::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzY=::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDY=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU2::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjc=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k3::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzc=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I3::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3Rvcjc=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yNw==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5Nw==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzNw==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0Nw==::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzc=::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDc=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU3::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjg=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k4::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzg=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I4::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3Rvcjg=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yOA==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5OA==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzOA==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0OA==::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzg=::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDg=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU4::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjk=::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3k5::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzk=::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3I5::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3Rvcjk=::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yOQ==::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5OQ==::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzOQ==::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0OQ==::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzk=::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDk=::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGU5::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjEw::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxMA==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzEw::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxMA==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjEw::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTA=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTA=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTA=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTA=::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzEw::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDEw::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxMA==::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjEx::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxMQ==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzEx::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxMQ==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjEx::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTE=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTE=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTE=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTE=::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzEx::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDEx::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxMQ==::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjEy::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxMg==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzEy::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxMg==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjEy::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTI=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTI=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTI=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTI=::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzEy::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDEy::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxMg==::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjEz::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxMw==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzEz::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxMw==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjEz::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTM=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTM=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTM=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTM=::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzEz::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDEz::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxMw==::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjE0::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxNA==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzE0::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxNA==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjE0::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTQ=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTQ=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTQ=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTQ=::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzE0::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDE0::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxNA==::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjE1::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxNQ==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzE1::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxNQ==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjE1::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTU=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTU=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTU=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTU=::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzE1::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDE1::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxNQ==::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjE2::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxNg==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzE2::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxNg==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjE2::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTY=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTY=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTY=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTY=::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzE2::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDE2::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxNg==::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Nhc2NhZGVfY291bnRlcjE3::ZmFsc2U=::TWFrZSB0aGlzIGEgY2FzY2FkZSBjb3VudGVy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX291dHB1dF9jbG9ja19mcmVxdWVuY3kxNw==::MTAwLjA=::RGVzaXJlZCBGcmVxdWVuY3k=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2RpdmlkZV9mYWN0b3JfYzE3::MQ==::RGl2aWRlIEZhY3RvciAoQy1Db3VudGVyKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9tdWx0aXBseV9mYWN0b3IxNw==::MQ==::QWN0dWFsIE11bHRpcGx5IEZhY3Rvcg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9mcmFjX211bHRpcGx5X2ZhY3RvcjE3::MQ==::QWN0dWFsIEZyYWN0aW9uYWwgTXVsdGlwbHkgRmFjdG9yIChLKQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9kaXZpZGVfZmFjdG9yMTc=::MQ==::QWN0dWFsIERpdmlkZSBGYWN0b3I=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9vdXRwdXRfY2xvY2tfZnJlcXVlbmN5MTc=::MCBNSHo=::QWN0dWFsIEZyZXF1ZW5jeQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BzX3VuaXRzMTc=::cHM=::UGhhc2UgU2hpZnQgdW5pdHM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0MTc=::MA==::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BoYXNlX3NoaWZ0X2RlZzE3::MC4w::UGhhc2UgU2hpZnQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2FjdHVhbF9waGFzZV9zaGlmdDE3::MA==::QWN0dWFsIFBoYXNlIFNoaWZ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2R1dHlfY3ljbGUxNw==::NTA=::RHV0eSBDeWNsZQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTA=::MjAuMDAwMDAwIE1Ieg==::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTA=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQw::MCBwcw==::cGhhc2Vfc2hpZnQw" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTA=::NTA=::ZHV0eV9jeWNsZTA=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQx::MCBwcw==::cGhhc2Vfc2hpZnQx" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTE=::NTA=::ZHV0eV9jeWNsZTE=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTI=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTI=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQy::MCBwcw==::cGhhc2Vfc2hpZnQy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTI=::NTA=::ZHV0eV9jeWNsZTI=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTM=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQz::MCBwcw==::cGhhc2Vfc2hpZnQz" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTM=::NTA=::ZHV0eV9jeWNsZTM=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTQ=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ0::MCBwcw==::cGhhc2Vfc2hpZnQ0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTQ=::NTA=::ZHV0eV9jeWNsZTQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTU=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTU=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ1::MCBwcw==::cGhhc2Vfc2hpZnQ1" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTU=::NTA=::ZHV0eV9jeWNsZTU=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTY=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTY=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ2::MCBwcw==::cGhhc2Vfc2hpZnQ2" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTY=::NTA=::ZHV0eV9jeWNsZTY=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTc=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTc=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ3::MCBwcw==::cGhhc2Vfc2hpZnQ3" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTc=::NTA=::ZHV0eV9jeWNsZTc=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTg=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTg=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ4::MCBwcw==::cGhhc2Vfc2hpZnQ4" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTg=::NTA=::ZHV0eV9jeWNsZTg=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTk=::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTk=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQ5::MCBwcw==::cGhhc2Vfc2hpZnQ5" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTk=::NTA=::ZHV0eV9jeWNsZTk=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEw::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEw" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxMA==::MCBwcw==::cGhhc2Vfc2hpZnQxMA==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTEw::NTA=::ZHV0eV9jeWNsZTEw" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEx::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEx" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxMQ==::MCBwcw==::cGhhc2Vfc2hpZnQxMQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTEx::NTA=::ZHV0eV9jeWNsZTEx" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEy::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxMg==::MCBwcw==::cGhhc2Vfc2hpZnQxMg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTEy::NTA=::ZHV0eV9jeWNsZTEy" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEz::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTEz" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxMw==::MCBwcw==::cGhhc2Vfc2hpZnQxMw==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTEz::NTA=::ZHV0eV9jeWNsZTEz" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE0::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxNA==::MCBwcw==::cGhhc2Vfc2hpZnQxNA==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTE0::NTA=::ZHV0eV9jeWNsZTE0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE1::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE1" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxNQ==::MCBwcw==::cGhhc2Vfc2hpZnQxNQ==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTE1::NTA=::ZHV0eV9jeWNsZTE1" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE2::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE2" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxNg==::MCBwcw==::cGhhc2Vfc2hpZnQxNg==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTE2::NTA=::ZHV0eV9jeWNsZTE2" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE3::MCBNSHo=::b3V0cHV0X2Nsb2NrX2ZyZXF1ZW5jeTE3" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGhhc2Vfc2hpZnQxNw==::MCBwcw==::cGhhc2Vfc2hpZnQxNw==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "ZHV0eV9jeWNsZTE3::NTA=::ZHV0eV9jeWNsZTE3" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BsbF9hdXRvX3Jlc2V0::T24=::UExMIEF1dG8gUmVzZXQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BsbF9iYW5kd2lkdGhfcHJlc2V0::QXV0bw==::UExMIEJhbmR3aWR0aCBQcmVzZXQ=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2VuX3JlY29uZg==::ZmFsc2U=::RW5hYmxlIGR5bmFtaWMgcmVjb25maWd1cmF0aW9uIG9mIFBMTA==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2VuX2Rwc19wb3J0cw==::ZmFsc2U=::RW5hYmxlIGFjY2VzcyB0byBkeW5hbWljIHBoYXNlIHNoaWZ0IHBvcnRz" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2VuX3Bob3V0X3BvcnRz::ZmFsc2U=::RW5hYmxlIGFjY2VzcyB0byBQTEwgRFBBIG91dHB1dCBwb3J0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGxsX3R5cGU=::R2VuZXJhbA==::UExMIFRZUEU=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "cGxsX3N1YnR5cGU=::R2VuZXJhbA==::UExMIFNVQlRZUEU=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BhcmFtZXRlcl9saXN0::TS1Db3VudGVyIEhpIERpdmlkZSxNLUNvdW50ZXIgTG93IERpdmlkZSxOLUNvdW50ZXIgSGkgRGl2aWRlLE4tQ291bnRlciBMb3cgRGl2aWRlLE0tQ291bnRlciBCeXBhc3MgRW5hYmxlLE4tQ291bnRlciBCeXBhc3MgRW5hYmxlLE0tQ291bnRlciBPZGQgRGl2aWRlIEVuYWJsZSxOLUNvdW50ZXIgT2RkIERpdmlkZSBFbmFibGUsQy1Db3VudGVyLTAgSGkgRGl2aWRlLEMtQ291bnRlci0wIExvdyBEaXZpZGUsQy1Db3VudGVyLTAgQ29hcnNlIFBoYXNlIFNoaWZ0LEMtQ291bnRlci0wIFZDTyBQaGFzZSBUYXAsQy1Db3VudGVyLTAgSW5wdXQgU291cmNlLEMtQ291bnRlci0wIEJ5cGFzcyBFbmFibGUsQy1Db3VudGVyLTAgT2RkIERpdmlkZSBFbmFibGUsVkNPIFBvc3QgRGl2aWRlIENvdW50ZXIgRW5hYmxlLENoYXJnZSBQdW1wIGN1cnJlbnQgKHVBKSxMb29wIEZpbHRlciBCYW5kd2lkdGggUmVzaXN0b3IgKE9obXMpICxQTEwgT3V0cHV0IFZDTyBGcmVxdWVuY3ksSy1GcmFjdGlvbmFsIERpdmlzaW9uIFZhbHVlIChEU00pLEZlZWRiYWNrIENsb2NrIFR5cGUsRmVlZGJhY2sgQ2xvY2sgTVVYIDEsRmVlZGJhY2sgQ2xvY2sgTVVYIDIsTSBDb3VudGVyIFNvdXJjZSBNVVgsUExMIEF1dG8gUmVzZXQ=::UGFyYW1ldGVyIE5hbWVz" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3BhcmFtZXRlcl92YWx1ZXM=::MywzLDI1NiwyNTYsZmFsc2UsdHJ1ZSxmYWxzZSxmYWxzZSw4LDcsMSwwLHBoX211eF9jbGssZmFsc2UsdHJ1ZSwyLDMwLDIwMDAsMzAwLjAgTUh6LDEsbm9uZSxnbGIsbV9jbnQscGhfbXV4X2Nsayx0cnVl::UGFyYW1ldGVyIFZhbHVlcw==" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX21pZl9nZW5lcmF0ZQ==::ZmFsc2U=::R2VuZXJhdGUgTUlGIGZpbGU=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2VuYWJsZV9taWZfZHBz::ZmFsc2U=::RW5hYmxlIER5bmFtaWMgUGhhc2UgU2hpZnQgZm9yIE1JRiBzdHJlYW1pbmc=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Rwc19jbnRy::QzA=::RFBTIENvdW50ZXIgU2VsZWN0aW9u" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Rwc19udW0=::MQ==::TnVtYmVyIG9mIER5bmFtaWMgUGhhc2UgU2hpZnRz" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2Rwc19kaXI=::UG9zaXRpdmU=::RHluYW1pYyBQaGFzZSBTaGlmdCBEaXJlY3Rpb24=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX3JlZmNsa19zd2l0Y2g=::ZmFsc2U=::Q3JlYXRlIGEgc2Vjb25kIGlucHV0IGNsayAncmVmY2xrMSc=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2VuYWJsZV9jYXNjYWRlX291dA==::ZmFsc2U=::Q3JlYXRlIGEgJ2Nhc2NhZGVfb3V0JyBzaWduYWwgdG8gY29ubmVjdCB3aXRoIGEgZG93bnN0cmVhbSBQTEw=" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_COMPONENT_PARAMETER "Z3VpX2VuYWJsZV9jYXNjYWRlX2lu::ZmFsc2U=::Q3JlYXRlIGFuIGFkanBsbGluIG9yIGNjbGsgc2lnbmFsIHRvIGNvbm5lY3Qgd2l0aCBhbiB1cHN0cmVhbSBQTEw=" + +set_global_assignment -library "pll" -name VERILOG_FILE [file join $::quartus(qip_path) "pll.v"] +set_global_assignment -library "pll" -name VERILOG_FILE [file join $::quartus(qip_path) "pll/pll_0002.v"] +set_global_assignment -library "pll" -name QIP_FILE [file join $::quartus(qip_path) "pll/pll_0002.qip"] + +set_global_assignment -entity "pll_0002" -library "pll" -name IP_TOOL_NAME "altera_pll" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_TOOL_VERSION "17.0" +set_global_assignment -entity "pll_0002" -library "pll" -name IP_TOOL_ENV "mwpim" ADDED ram_controller_cyc2.v Index: ram_controller_cyc2.v ================================================================== --- ram_controller_cyc2.v +++ ram_controller_cyc2.v @@ -0,0 +1,351 @@ +// ram_controller_cyc2.v --- ---!!! + +`timescale 1ns/1ps +//`default_nettype none + +module ram_controller_cyc2(/*AUTOARG*/ + // Outputs + sdram_data_out, vram_cpu_data_out, + vram_vga_data_out, mcr_data_out, lpddr_calib_done, lpddr_clk_out, + mcr_done, mcr_ready, sdram_done, sdram_ready, vram_cpu_done, + vram_cpu_ready, vram_vga_ready, + /* + mcb3_dram_a, mcb3_dram_ba, + mcb3_dram_cas_n, mcb3_dram_ck, mcb3_dram_ck_n, mcb3_dram_cke, + mcb3_dram_dm, mcb3_dram_ras_n, mcb3_dram_udm, mcb3_dram_we_n, + */ + // Inouts + //mcb3_dram_dq, mcb3_dram_dqs, mcb3_dram_udqs, mcb3_rzq, + // Inputs + mcr_addr, vram_cpu_addr, vram_vga_addr, sdram_addr, sdram_data_in, + vram_cpu_data_in, mcr_data_in, clk, cpu_clk, fetch, lpddr_reset, + machrun, mcr_write, prefetch, reset, sdram_req, sdram_write, + sysclk, vga_clk, vram_cpu_req, vram_cpu_write, vram_vga_req, + + //LPDDR2 + lpddr2_ca, lpddr2_dq, lpddr2_dm, lpddr2_dqs, lpddr2_dqsn, lpddr2_cke, + lpddr2_csn, lpddr2_ckn, lpddr2_ck, + ); + + output [9:0] lpddr2_ca; + inout [15:0] lpddr2_dq; + output [1:0] lpddr2_dm; + inout [1:0] lpddr2_dqs; + inout [1:0] lpddr2_dqsn; + output lpddr2_cke; + output lpddr2_csn; + inout lpddr2_ckn; + inout lpddr2_ck; + //inout [15:0] mcb3_dram_dq; + //inout [1:0] mcb3_dram_dqs; + //inout [1:0] mcb3_dram_udqs; + //inout mcb3_rzq; + input [13:0] mcr_addr; + input [14:0] vram_cpu_addr; + input [14:0] vram_vga_addr; + input [21:0] sdram_addr; + input [31:0] sdram_data_in; + input [31:0] vram_cpu_data_in; + input [48:0] mcr_data_in; + input clk; + input cpu_clk; + input fetch; + input lpddr_reset; + input machrun; + input mcr_write; + input prefetch; + input reset; + input sdram_req; + input sdram_write; + input sysclk; + input vga_clk; + input vram_cpu_req; + input vram_cpu_write; + input vram_vga_req; + //output [12:0] mcb3_dram_a; + //output [1:0] mcb3_dram_ba; + output [31:0] sdram_data_out; + output [31:0] vram_cpu_data_out; + output [31:0] vram_vga_data_out; + output [48:0] mcr_data_out; + output lpddr_calib_done; + output lpddr_clk_out; + /* + output mcb3_dram_cas_n; + output mcb3_dram_ck; + output mcb3_dram_ck_n; + output mcb3_dram_cke; + output mcb3_dram_dm; + output mcb3_dram_ras_n; + output mcb3_dram_udm; + output mcb3_dram_we_n; + */ + output mcr_done; + output mcr_ready; + output sdram_done; + output sdram_ready; + output vram_cpu_done; + output vram_cpu_ready; + output vram_vga_ready; + + //////////////////////////////////////////////////////////////////////////////// + + parameter [2:0] + NSD_IDLE = 0, + NSD_READ = 1, + NSD_READBSY = 2, + NSD_READW = 3, + NSD_WRITE = 4, + NSD_WRITEBSY = 5, + NSD_WRITEW = 6; + parameter [6:0] + SD_IDLE = 7'b0000001, + SD_READ = 7'b0000010, + SD_READBSY = 7'b0000100, + SD_READW = 7'b0001000, + SD_WRITE = 7'b0010000, + SD_WRITEBSY = 7'b0100000, + SD_WRITEW = 7'b1000000; + + reg [31:0] sdram_out; + reg [31:0] vram_vga_data; + reg [3:0] vram_cpu_ready_dly; + reg [3:0] vram_vga_ready_dly; + reg [6:0] sdram_state; + reg int_sdram_done; + reg int_sdram_ready; + reg sdram_done; + reg sdram_ready; + + wire [29:0] lpddr_addr; + wire [2:0] lpddr_cmd; + wire [31:0] sdram_resp_in; + wire [31:0] vram_vga_ram_out; + wire [6:0] sdram_state_next; + //wire c3_calib_done; + wire clock; + wire i_sdram_req; + wire i_sdram_write; + wire lpddr_clk; + wire lpddr_cmd_en; + wire lpddr_cmd_full; + wire lpddr_rd_done; + wire lpddr_rd_empty; + wire lpddr_rd_rdy; + wire lpddr_wr_done; + wire lpddr_wr_en; + wire lpddr_wr_full; + wire lpddr_wr_rdy; + wire reset; + wire sys_clk; + wire sys_rst; + + //////////////////////////////////////////////////////////////////////////////// + + always @(posedge clk) + if (reset) begin + sdram_state <= SD_IDLE; + end else + sdram_state <= sdram_state_next; + + assign sdram_state_next = + (sdram_state[NSD_IDLE] && sdram_req) ? SD_READ : + (sdram_state[NSD_IDLE] && sdram_write) ? SD_WRITE : + (sdram_state[NSD_READ] && lpddr_rd_rdy) ? SD_READBSY : + (sdram_state[NSD_READBSY] && lpddr_rd_done) ? SD_READW : + (sdram_state[NSD_READW] && ~sdram_req) ? SD_IDLE : + (sdram_state[NSD_WRITE] && lpddr_wr_rdy) ? SD_WRITEBSY : + (sdram_state[NSD_WRITEBSY] && lpddr_wr_done) ? SD_WRITEW : + (sdram_state[NSD_WRITEW] && ~sdram_write) ? SD_IDLE : + sdram_state; + assign i_sdram_req = sdram_state[NSD_READ]; + assign i_sdram_write = sdram_state[NSD_WRITE]; + + always @(posedge clk) + if (reset) begin + /*AUTORESET*/ + // Beginning of autoreset for uninitialized flops + sdram_out <= 32'h0; + // End of automatics + end else begin + if (sdram_state[NSD_READBSY]) begin + sdram_out <= sdram_addr[21:17] == 0 ? sdram_resp_in : 32'hffffffff; + end + end + + always @(posedge clk) + if (reset) begin + /*AUTORESET*/ + // Beginning of autoreset for uninitialized flops + int_sdram_ready <= 1'h0; + // End of automatics + end else if (sdram_state[NSD_READ]) + int_sdram_ready <= 1'b0; + else if (sdram_state[NSD_READW]) + int_sdram_ready <= 1'b1; + + always @(posedge clk) + if (reset) begin + /*AUTORESET*/ + // Beginning of autoreset for uninitialized flops + int_sdram_done <= 1'h0; + // End of automatics + end else if (sdram_state[NSD_WRITE]) + int_sdram_done <= 1'b0; + else if (sdram_state[NSD_WRITEW]) + int_sdram_done <= 1'b1; + + assign sdram_data_out = sdram_out; + + always @(posedge cpu_clk) + if (reset) begin + /*AUTORESET*/ + // Beginning of autoreset for uninitialized flops + sdram_ready <= 1'h0; + // End of automatics + end else + sdram_ready <= int_sdram_ready && sdram_req; + + always @(posedge cpu_clk) + if (reset) begin + /*AUTORESET*/ + // Beginning of autoreset for uninitialized flops + sdram_done <= 1'h0; + // End of automatics + end else + sdram_done <= int_sdram_done && sdram_write; + + assign lpddr_cmd = sdram_write ? 3'b000 : 3'b001; + assign lpddr_addr = { 6'b0, sdram_addr, 2'b0 }; + assign lpddr_cmd_en = (sdram_state[NSD_READ] && sdram_state_next == SD_READBSY) || + (sdram_state[NSD_WRITE] && sdram_state_next == SD_WRITEBSY); + //assign lpddr_rd_rdy = ~lpddr_cmd_full; + //assign lpddr_rd_done = ~lpddr_rd_empty; + assign lpddr_wr_rdy = ~lpddr_cmd_full && ~lpddr_wr_full; +// assign lpddr_wr_done = 1'b1; + assign lpddr_wr_en = sdram_state[NSD_WRITEBSY]; + assign lpddr_clk_out = lpddr_clk; + //assign lpddr_calib_done = c3_calib_done; + wire ddr_sysclk; + assign ddr_sysclk = sysclk; + + altera_ddr intf( + // clocks + .pll_ref_clk(ddr_sysclk), + .afi_clk(lpddr_clk), + //.afi_half_clk(clk), + // reset + .global_reset_n(lpddr_reset), + // request a read or write + .avl_read_req(i_sdram_req), + .avl_write_req(i_sdram_write), + // address + .avl_addr(lpddr_addr), + // data to write + .avl_wdata(sdram_data_in), + // controls size + .avl_be(8'b00001111), + .avl_size(2'b11), + .avl_ready(lpddr_rd_rdy), + // output data read + .avl_rdata(sdram_resp_in), + .avl_rdata_valid(lpddr_rd_done), + //.local_rdvalid_in_n, + .local_init_done(lpddr_calib_done), + //.local_refresh_ack, // intentionally left blank + //.avl_write_req(lpddr_wr_done), + //.ddr_odt(mcb3_rzq), // odt resistor + .pll_mem_clk(mcb3_dram_ck), + .pll_write_clk(mcb3_dram_ck_n), + .mem_cs_n(lpddr2_csn), + .mem_cke(lpddr2_ck), + .mem_ca(lpddr2_ca), + //.mem_ba(lppdr2_ba), + //.ddr_ras_n(mcb3_dram_ras_n), + //.ddr_cas_n(mcb3_dram_cas_n), + //.ddr_we_n(mcb3_dram_we_n), + .mem_dm(lppdr2_dm), + .mem_dq(lpddr2_dq), + .mem_dqs(lpddr2_dqs), + .mem_dqs_n(lpddr2_dqsn) + ); + + /* + //LPDDR2 + output [9:0] lpddr2_ca, + inout [15:0] lpddr2_dq, + output [1:0] lpddr2_dm, + inout lpddr2_dqs1n, + inout lpddr2_dqs1, + inout lpddr2_dqs0n, + inout lpddr2_dqs0, + output lpddr2_cke, + output lpddr2_csn, + inout lpddr2_ckn, + inout lpddr2_ck, + */ + + wire ena_a = vram_cpu_req | vram_cpu_write; + wire ena_b = vram_vga_req | 1'b0; + alt_vram inst ( + .address_a(vram_cpu_addr), + .address_b(vram_vga_addr), + .clock_a(cpu_clk), + .clock_b(vga_clk), + .data_a(vram_cpu_data_in), + .data_b(32'b0), + .wren_a(ena_a), + .wren_b(vram_cpu_write), + .q_a(vram_cpu_data_out), + .q_b(vram_vga_ram_out)); + + + assign vram_vga_data_out = vram_vga_ready ? vram_vga_ram_out : vram_vga_data; + + always @(posedge vga_clk) + if (reset) begin + /*AUTORESET*/ + // Beginning of autoreset for uninitialized flops + vram_vga_data <= 32'h0; + // End of automatics + end else + if (vram_vga_ready) + vram_vga_data <= vram_vga_ram_out; + + always @(posedge vga_clk) + if (reset) begin + /*AUTORESET*/ + // Beginning of autoreset for uninitialized flops + vram_vga_ready_dly <= 4'h0; + // End of automatics + end else + vram_vga_ready_dly <= { vram_vga_ready_dly[2:0], vram_vga_req }; + + assign vram_vga_ready = vram_vga_ready_dly[0]; + assign vram_cpu_done = 1'b1; + + always @(posedge cpu_clk) + if (reset) begin + /*AUTORESET*/ + // Beginning of autoreset for uninitialized flops + vram_cpu_ready_dly <= 4'h0; + // End of automatics + end else + vram_cpu_ready_dly <= { vram_cpu_ready_dly[2:0], vram_cpu_req }; + + assign vram_cpu_ready = vram_cpu_ready_dly[3]; + `ifndef EXTERNAL_MCR + assign mcr_data_out = 0; + assign mcr_ready = 0; + assign mcr_done = 0; + `else + //TODO + `endif + +endmodule + +`default_nettype wire + +// Local Variables: +// verilog-library-directories: ("." "cores/xilinx" "cores/xilinx/mig_32bit/user_design/rtl") +// End: ADDED ram_controller_mister.sv Index: ram_controller_mister.sv ================================================================== --- ram_controller_mister.sv +++ ram_controller_mister.sv @@ -0,0 +1,249 @@ +/******************************************************************************* + * Engineer: Nicholas Nusgart + * Design Name: LM-3 CADR implementation + * Module Name: ram_controller_mister + * Project Name: LM-3 + * Description: This module is acts as the memory controller for the LM-3. It + acts as the interface from the LM-3 sdram bus to the MiSTer's + DDR3 and from the LM-3 vram bus to the VRAM (implemented as + block ram). + * Dependencies: alt_vram + * Revision: + * Revision 0.01 - File Created + * Additional Comments: +******************************************************************************/ + +`timescale 1ns/1ps +`default_nettype none + +module ram_controller_mister ( + // system interface + input clk, + input cpu_clk, + input vga_clk, + input reset, + // DDR interface + output DDRAM_CLK, + input DDRAM_BUSY, + output [7:0] DDRAM_BURSTCNT, + output [28:0] DDRAM_ADDR, + input [63:0] DDRAM_DOUT, + input DDRAM_DOUT_READY, + output DDRAM_RD, + output [63:0] DDRAM_DIN, + output [7:0] DDRAM_BE, + output DDRAM_WE, + + //// CADR xbus_sdram interface + input wire [21:0] sdram_addr, + input wire [31:0] sdram_data_in, + output [31:0] sdram_data_out, + input wire sdram_req, + input wire sdram_write, + // is the write previously requested finished + output reg sdram_done, + // is the data from the read ready + output reg sdram_ready, + + + // CADR microcode interface + input wire [13:0] mcr_addr, + input wire [48:0] mcr_data_in, + output [48:0] mcr_data_out, + input mcr_write, + output mcr_done, + output mcr_ready, + + // CADR & VGA VRAM interface + input [14:0] vram_cpu_addr, + input [31:0] vram_cpu_data_in, + output [31:0] vram_cpu_data_out, + input vram_cpu_req, + input vram_cpu_write, + output vram_cpu_done, + output vram_cpu_ready, + + input [14:0] vram_vga_addr, + output [31:0] vram_vga_data_out, + input vram_vga_req, + output vram_vga_ready + ); + + + ////// SDRAM support -- this probably needs work. Probably doesn't meet xbus requirements + ////// -- specifically, it might not take enough clock cycles. + // TODO since there is a large amount of free block ram, implement a 64 KW cache (256 KB). + // I think using a direct mapped cache would be fine here since the cachable portion of the + // address space is only 3.75 MW, so that's only 60 addresses mapping to 1 cache line. + assign DDRAM_CLK = clk; + assign DDRAM_BURSTCNT = 1; + + + + assign DDRAM_BE = 0; + + + localparam IDLE = 3'd1; + localparam WRITE = 3'd2; + localparam WRITE_BUSY = 3'd3; + localparam WRITE_WAIT = 3'd4; + localparam READ = 3'd5; + localparam READ_BUSY = 3'd6; + localparam READ_WAIT = 3'd7; + + reg [2:0] state; + reg i_sdram_rdone; + reg i_sdram_wdone; + reg [21:0] i_sdram_addr; + reg [31:0] i_sdram_wdata; + reg [31:0] i_sdram_rdata; + + // DDRAM control + assign DDRAM_ADDR = {7'b0, i_sdram_addr}; + assign DDRAM_DIN = i_sdram_wdata; + assign DDRAM_RD = (state == READ); + assign DDRAM_WE = (state == WRITE); + + + // xbus_sdram interface + always @(posedge cpu_clk) begin + sdram_ready <= i_sdram_rdone && sdram_req; + sdram_done <= i_sdram_wdone && sdram_write; + end + assign sdram_data_out = i_sdram_rdata; + + // core DDR SDRAM state machine + always @(posedge clk) begin + if (reset) begin + state <= IDLE; + i_sdram_rdone <= 0; + i_sdram_wdone <= 0; + i_sdram_addr <= 0; + i_sdram_wdata <= 0; + i_sdram_rdata <= 32'hffff_ffff; + end else begin + case (state) + // idle state + IDLE: begin + if (DDRAM_BUSY) begin + // if there is a refresh going on, can't do anything + state <= IDLE; + end else if (sdram_req) begin + // start read + state <= READ; + end else if (sdram_write) begin + // start write + state <= WRITE; + i_sdram_wdata <= sdram_data_in; + end + end + // write states + WRITE: begin + i_sdram_wdone <= 1'b0; + // wait for write to start + if (DDRAM_BUSY) state <= WRITE_BUSY; + end + WRITE_BUSY: begin + // wait for the DDRAM to complete the write + if (~DDRAM_BUSY) state <= WRITE_WAIT; + end + WRITE_WAIT: begin + // notify xbus that the write is complete + i_sdram_wdone <= 1'b1; + // once xbus stops requesting a write, return to idle + // note that this is correct behavior because xbus doesn't support bursts. + if (~sdram_write) state <= IDLE; + end + // read states + READ: begin + i_sdram_rdone <= 1'b0; + + // wait for read to start + if (DDRAM_BUSY) state <= READ_BUSY; + end + READ_BUSY: begin + // wait for DDRAM to complete the read + if (DDRAM_DOUT_READY) begin + state <= READ_WAIT; + i_sdram_rdata <= DDRAM_DOUT; + end + end + READ_WAIT: begin + // notify xbus that the read is complete + i_sdram_rdone <= 1'b1; + // once xbus stops requesting a read, return to idle + // note that this is correct behavior because xbus doesn't support bursts + if (~sdram_req) state <= IDLE; + end + endcase + end + end + + + //////////////////////////////////////////////////////////////////////////////// + reg [31:0] vram_vga_data; + reg [3:0] vram_cpu_ready_dly; + reg [3:0] vram_vga_ready_dly; + wire [31:0] vram_vga_ram_out; + + + + //wire ena_a = vram_cpu_req | vram_cpu_write; + //wire ena_b = vram_vga_req | 1'b0; + alt_vram inst ( + .address_a(vram_cpu_addr), + .address_b(vram_vga_addr), + .clock_a(cpu_clk), + .clock_b(vga_clk), + .data_a(vram_cpu_data_in), + .data_b(32'b0), + .wren_a(vram_cpu_write), + .wren_b(1'b0), + .q_a(vram_cpu_data_out), + .q_b(vram_vga_ram_out)); + + + assign vram_vga_data_out = vram_vga_ready ? vram_vga_ram_out : vram_vga_data; + + always @(posedge vga_clk) + if (reset) begin + /*AUTORESET*/ + // Beginning of autoreset for uninitialized flops + vram_vga_data <= 32'h0; + // End of automatics + end else + if (vram_vga_ready) + vram_vga_data <= vram_vga_ram_out; + + always @(posedge vga_clk) + if (reset) begin + /*AUTORESET*/ + // Beginning of autoreset for uninitialized flops + vram_vga_ready_dly <= 4'h0; + // End of automatics + end else + vram_vga_ready_dly <= { vram_vga_ready_dly[2:0], vram_vga_req }; + + assign vram_vga_ready = vram_vga_ready_dly[0]; + assign vram_cpu_done = 1'b1; + + always @(posedge cpu_clk) + if (reset) begin + /*AUTORESET*/ + // Beginning of autoreset for uninitialized flops + vram_cpu_ready_dly <= 4'h0; + // End of automatics + end else + vram_cpu_ready_dly <= { vram_cpu_ready_dly[2:0], vram_cpu_req }; + + assign vram_cpu_ready = vram_cpu_ready_dly[3]; + `ifndef EXTERNAL_MCR + assign mcr_data_out = 0; + assign mcr_ready = 0; + assign mcr_done = 0; + `else + //TODO + `endif + +endmodule +`default_nettype wire ADDED ram_controller_mister.v Index: ram_controller_mister.v ================================================================== --- ram_controller_mister.v +++ ram_controller_mister.v @@ -0,0 +1,351 @@ +// ram_controller_cyc2.v --- ---!!! + +`timescale 1ns/1ps +//`default_nettype none + +module ram_controller_cyc2(/*AUTOARG*/ + // Outputs + sdram_data_out, vram_cpu_data_out, + vram_vga_data_out, mcr_data_out, lpddr_calib_done, lpddr_clk_out, + mcr_done, mcr_ready, sdram_done, sdram_ready, vram_cpu_done, + vram_cpu_ready, vram_vga_ready, + /* + mcb3_dram_a, mcb3_dram_ba, + mcb3_dram_cas_n, mcb3_dram_ck, mcb3_dram_ck_n, mcb3_dram_cke, + mcb3_dram_dm, mcb3_dram_ras_n, mcb3_dram_udm, mcb3_dram_we_n, + */ + // Inouts + //mcb3_dram_dq, mcb3_dram_dqs, mcb3_dram_udqs, mcb3_rzq, + // Inputs + mcr_addr, vram_cpu_addr, vram_vga_addr, sdram_addr, sdram_data_in, + vram_cpu_data_in, mcr_data_in, clk, cpu_clk, fetch, lpddr_reset, + machrun, mcr_write, prefetch, reset, sdram_req, sdram_write, + sysclk, vga_clk, vram_cpu_req, vram_cpu_write, vram_vga_req, + + //LPDDR2 + lpddr2_ca, lpddr2_dq, lpddr2_dm, lpddr2_dqs, lpddr2_dqsn, lpddr2_cke, + lpddr2_csn, lpddr2_ckn, lpddr2_ck, + ); + + output [9:0] lpddr2_ca; + inout [15:0] lpddr2_dq; + output [1:0] lpddr2_dm; + inout [1:0] lpddr2_dqs; + inout [1:0] lpddr2_dqsn; + output lpddr2_cke; + output lpddr2_csn; + inout lpddr2_ckn; + inout lpddr2_ck; + //inout [15:0] mcb3_dram_dq; + //inout [1:0] mcb3_dram_dqs; + //inout [1:0] mcb3_dram_udqs; + //inout mcb3_rzq; + input [13:0] mcr_addr; + input [14:0] vram_cpu_addr; + input [14:0] vram_vga_addr; + input [21:0] sdram_addr; + input [31:0] sdram_data_in; + input [31:0] vram_cpu_data_in; + input [48:0] mcr_data_in; + input clk; + input cpu_clk; + input fetch; + input lpddr_reset; + input machrun; + input mcr_write; + input prefetch; + input reset; + input sdram_req; + input sdram_write; + input sysclk; + input vga_clk; + input vram_cpu_req; + input vram_cpu_write; + input vram_vga_req; + //output [12:0] mcb3_dram_a; + //output [1:0] mcb3_dram_ba; + output [31:0] sdram_data_out; + output [31:0] vram_cpu_data_out; + output [31:0] vram_vga_data_out; + output [48:0] mcr_data_out; + output lpddr_calib_done; + output lpddr_clk_out; + /* + output mcb3_dram_cas_n; + output mcb3_dram_ck; + output mcb3_dram_ck_n; + output mcb3_dram_cke; + output mcb3_dram_dm; + output mcb3_dram_ras_n; + output mcb3_dram_udm; + output mcb3_dram_we_n; + */ + output mcr_done; + output mcr_ready; + output sdram_done; + output sdram_ready; + output vram_cpu_done; + output vram_cpu_ready; + output vram_vga_ready; + + //////////////////////////////////////////////////////////////////////////////// + + parameter [2:0] + NSD_IDLE = 0, + NSD_READ = 1, + NSD_READBSY = 2, + NSD_READW = 3, + NSD_WRITE = 4, + NSD_WRITEBSY = 5, + NSD_WRITEW = 6; + parameter [6:0] + SD_IDLE = 7'b0000001, + SD_READ = 7'b0000010, + SD_READBSY = 7'b0000100, + SD_READW = 7'b0001000, + SD_WRITE = 7'b0010000, + SD_WRITEBSY = 7'b0100000, + SD_WRITEW = 7'b1000000; + + reg [31:0] sdram_out; + reg [31:0] vram_vga_data; + reg [3:0] vram_cpu_ready_dly; + reg [3:0] vram_vga_ready_dly; + reg [6:0] sdram_state; + reg int_sdram_done; + reg int_sdram_ready; + reg sdram_done; + reg sdram_ready; + + wire [29:0] lpddr_addr; + wire [2:0] lpddr_cmd; + wire [31:0] sdram_resp_in; + wire [31:0] vram_vga_ram_out; + wire [6:0] sdram_state_next; + //wire c3_calib_done; + wire clock; + wire i_sdram_req; + wire i_sdram_write; + wire lpddr_clk; + wire lpddr_cmd_en; + wire lpddr_cmd_full; + wire lpddr_rd_done; + wire lpddr_rd_empty; + wire lpddr_rd_rdy; + wire lpddr_wr_done; + wire lpddr_wr_en; + wire lpddr_wr_full; + wire lpddr_wr_rdy; + wire reset; + wire sys_clk; + wire sys_rst; + + //////////////////////////////////////////////////////////////////////////////// + + always @(posedge clk) + if (reset) begin + sdram_state <= SD_IDLE; + end else + sdram_state <= sdram_state_next; + + assign sdram_state_next = + (sdram_state[NSD_IDLE] && sdram_req) ? SD_READ : + (sdram_state[NSD_IDLE] && sdram_write) ? SD_WRITE : + (sdram_state[NSD_READ] && lpddr_rd_rdy) ? SD_READBSY : + (sdram_state[NSD_READBSY] && lpddr_rd_done) ? SD_READW : + (sdram_state[NSD_READW] && ~sdram_req) ? SD_IDLE : + (sdram_state[NSD_WRITE] && lpddr_wr_rdy) ? SD_WRITEBSY : + (sdram_state[NSD_WRITEBSY] && lpddr_wr_done) ? SD_WRITEW : + (sdram_state[NSD_WRITEW] && ~sdram_write) ? SD_IDLE : + sdram_state; + assign i_sdram_req = sdram_state[NSD_READ]; + assign i_sdram_write = sdram_state[NSD_WRITE]; + + always @(posedge clk) + if (reset) begin + /*AUTORESET*/ + // Beginning of autoreset for uninitialized flops + sdram_out <= 32'h0; + // End of automatics + end else begin + if (sdram_state[NSD_READBSY]) begin + sdram_out <= sdram_addr[21:17] == 0 ? sdram_resp_in : 32'hffffffff; + end + end + + always @(posedge clk) + if (reset) begin + /*AUTORESET*/ + // Beginning of autoreset for uninitialized flops + int_sdram_ready <= 1'h0; + // End of automatics + end else if (sdram_state[NSD_READ]) + int_sdram_ready <= 1'b0; + else if (sdram_state[NSD_READW]) + int_sdram_ready <= 1'b1; + + always @(posedge clk) + if (reset) begin + /*AUTORESET*/ + // Beginning of autoreset for uninitialized flops + int_sdram_done <= 1'h0; + // End of automatics + end else if (sdram_state[NSD_WRITE]) + int_sdram_done <= 1'b0; + else if (sdram_state[NSD_WRITEW]) + int_sdram_done <= 1'b1; + + assign sdram_data_out = sdram_out; + + always @(posedge cpu_clk) + if (reset) begin + /*AUTORESET*/ + // Beginning of autoreset for uninitialized flops + sdram_ready <= 1'h0; + // End of automatics + end else + sdram_ready <= int_sdram_ready && sdram_req; + + always @(posedge cpu_clk) + if (reset) begin + /*AUTORESET*/ + // Beginning of autoreset for uninitialized flops + sdram_done <= 1'h0; + // End of automatics + end else + sdram_done <= int_sdram_done && sdram_write; + + assign lpddr_cmd = sdram_write ? 3'b000 : 3'b001; + assign lpddr_addr = { 6'b0, sdram_addr, 2'b0 }; + assign lpddr_cmd_en = (sdram_state[NSD_READ] && sdram_state_next == SD_READBSY) || + (sdram_state[NSD_WRITE] && sdram_state_next == SD_WRITEBSY); + //assign lpddr_rd_rdy = ~lpddr_cmd_full; + //assign lpddr_rd_done = ~lpddr_rd_empty; + assign lpddr_wr_rdy = ~lpddr_cmd_full && ~lpddr_wr_full; +// assign lpddr_wr_done = 1'b1; + assign lpddr_wr_en = sdram_state[NSD_WRITEBSY]; + assign lpddr_clk_out = lpddr_clk; + //assign lpddr_calib_done = c3_calib_done; + wire ddr_sysclk; + assign ddr_sysclk = sysclk; + + altera_ddr intf( + // clocks + .pll_ref_clk(ddr_sysclk), + .afi_clk(lpddr_clk), + //.afi_half_clk(clk), + // reset + .global_reset_n(lpddr_reset), + // request a read or write + .avl_read_req(i_sdram_req), + .avl_write_req(i_sdram_write), + // address + .avl_addr(lpddr_addr), + // data to write + .avl_wdata(sdram_data_in), + // controls size + .avl_be(8'b00001111), + .avl_size(2'b11), + .avl_ready(lpddr_rd_rdy), + // output data read + .avl_rdata(sdram_resp_in), + .avl_rdata_valid(lpddr_rd_done), + //.local_rdvalid_in_n, + .local_init_done(lpddr_calib_done), + //.local_refresh_ack, // intentionally left blank + //.avl_write_req(lpddr_wr_done), + //.ddr_odt(mcb3_rzq), // odt resistor + .pll_mem_clk(mcb3_dram_ck), + .pll_write_clk(mcb3_dram_ck_n), + .mem_cs_n(lpddr2_csn), + .mem_cke(lpddr2_ck), + .mem_ca(lpddr2_ca), + //.mem_ba(lppdr2_ba), + //.ddr_ras_n(mcb3_dram_ras_n), + //.ddr_cas_n(mcb3_dram_cas_n), + //.ddr_we_n(mcb3_dram_we_n), + .mem_dm(lppdr2_dm), + .mem_dq(lpddr2_dq), + .mem_dqs(lpddr2_dqs), + .mem_dqs_n(lpddr2_dqsn) + ); + + /* + //LPDDR2 + output [9:0] lpddr2_ca, + inout [15:0] lpddr2_dq, + output [1:0] lpddr2_dm, + inout lpddr2_dqs1n, + inout lpddr2_dqs1, + inout lpddr2_dqs0n, + inout lpddr2_dqs0, + output lpddr2_cke, + output lpddr2_csn, + inout lpddr2_ckn, + inout lpddr2_ck, + */ + + wire ena_a = vram_cpu_req | vram_cpu_write; + wire ena_b = vram_vga_req | 1'b0; + alt_vram inst ( + .address_a(vram_cpu_addr), + .address_b(vram_vga_addr), + .clock_a(cpu_clk), + .clock_b(vga_clk), + .data_a(vram_cpu_data_in), + .data_b(32'b0), + .wren_a(ena_a), + .wren_b(vram_cpu_write), + .q_a(vram_cpu_data_out), + .q_b(vram_vga_ram_out)); + + + assign vram_vga_data_out = vram_vga_ready ? vram_vga_ram_out : vram_vga_data; + + always @(posedge vga_clk) + if (reset) begin + /*AUTORESET*/ + // Beginning of autoreset for uninitialized flops + vram_vga_data <= 32'h0; + // End of automatics + end else + if (vram_vga_ready) + vram_vga_data <= vram_vga_ram_out; + + always @(posedge vga_clk) + if (reset) begin + /*AUTORESET*/ + // Beginning of autoreset for uninitialized flops + vram_vga_ready_dly <= 4'h0; + // End of automatics + end else + vram_vga_ready_dly <= { vram_vga_ready_dly[2:0], vram_vga_req }; + + assign vram_vga_ready = vram_vga_ready_dly[0]; + assign vram_cpu_done = 1'b1; + + always @(posedge cpu_clk) + if (reset) begin + /*AUTORESET*/ + // Beginning of autoreset for uninitialized flops + vram_cpu_ready_dly <= 4'h0; + // End of automatics + end else + vram_cpu_ready_dly <= { vram_cpu_ready_dly[2:0], vram_cpu_req }; + + assign vram_cpu_ready = vram_cpu_ready_dly[3]; + `ifndef EXTERNAL_MCR + assign mcr_data_out = 0; + assign mcr_ready = 0; + assign mcr_done = 0; + `else + //TODO + `endif + +endmodule + +`default_nettype wire + +// Local Variables: +// verilog-library-directories: ("." "cores/xilinx" "cores/xilinx/mig_32bit/user_design/rtl") +// End: ADDED support_cyc2.v Index: support_cyc2.v ================================================================== --- support_cyc2.v +++ support_cyc2.v @@ -0,0 +1,174 @@ +// support_lx45.v --- ---!!! + +`timescale 1ns/1ps +`default_nettype none + +module support_cyc2(/*AUTOARG*/ + // Outputs + boot, dcm_reset, halt, interrupt, lpddr_reset, reset, + // Inputs + button_b, button_c, button_h, button_r, cpu_clk, lpddr_calib_done, + sysclk + ); + + input button_b; + input button_c; + input button_h; + input button_r; + input cpu_clk; + input lpddr_calib_done; + input sysclk; + output boot; + output dcm_reset; + output halt; + output interrupt; + output lpddr_reset; + output reset; + + //////////////////////////////////////////////////////////////////////////////// + + parameter + c_init = 3'd0, + c_reset1 = 3'd1, + c_reset2 = 3'd2, + c_reset3 = 3'd3, + c_boot = 3'd4, + c_wait = 3'd5, + c_idle = 3'd6; + parameter + r_init = 3'd0, + r_reset1 = 3'd1, + r_reset2 = 3'd2, + r_reset3 = 3'd3, + r_reset4 = 3'd4, + r_reset5 = 3'd5, + r_wait = 3'd6, + r_idle = 3'd7; + + reg [11:0] sys_slowcount; + reg [1:0] cpu_slowcount; + reg [2:0] cpu_state; + reg [2:0] reset_state; + reg [3:0] lpddr_reset_holdoff_cnt; + reg [5:0] sys_medcount; + reg [9:0] hold; + reg press_history; + + wire [2:0] cpu_state_next; + wire [2:0] reset_state_next; + wire cpu_in_reset; + wire cpu_slowevent; + wire lpddr_reset_holdoff; + wire press_detected; + wire pressed; + wire sys_medevent; + wire sys_slowevent; + + /*AUTOWIRE*/ + /*AUTOREG*/ + + //////////////////////////////////////////////////////////////////////////////// + + assign interrupt = 1'b0; + assign halt = 1'b0; + + initial begin + reset_state = 0; + cpu_state = 0; + sys_slowcount = 0; + sys_medcount = 0; + cpu_slowcount = 0; + hold = 0; + press_history = 0; + end + + always @(posedge cpu_clk or posedge dcm_reset) + if (dcm_reset) + cpu_slowcount <= 0; + else + cpu_slowcount <= cpu_slowcount + 2'd1; + + initial + lpddr_reset_holdoff_cnt = 0; + + always @(posedge sysclk) + if (lpddr_reset_holdoff_cnt != 4'd4) + lpddr_reset_holdoff_cnt <= lpddr_reset_holdoff_cnt + 4'd1; + + assign lpddr_reset_holdoff = lpddr_reset_holdoff_cnt != 4'd4; + + assign cpu_in_reset = (reset_state == r_init || + reset_state == r_reset1 || + reset_state == r_reset2 || + reset_state == r_reset3) || + (cpu_state == c_init || + cpu_state == c_reset1 || + cpu_state == c_reset2 || + cpu_state == c_reset3); + assign dcm_reset = reset_state == r_init; + assign lpddr_reset = (reset_state == r_init || reset_state == r_reset1) && + ~lpddr_reset_holdoff ? 1'b1 : 1'b0; + assign reset = cpu_in_reset; + assign boot = cpu_state == c_reset3 || cpu_state == c_boot; + assign cpu_state_next = + (cpu_state == c_init && reset_state == r_reset4) ? c_reset1 : + (cpu_state == c_reset1) ? c_reset2 : + (cpu_state == c_reset2) ? c_reset3 : + (cpu_state == c_reset3) ? c_boot : + (cpu_state == c_boot) ? c_wait : + (cpu_state == c_wait && reset_state == r_idle) ? c_idle : + (cpu_state == c_idle && reset_state == r_reset4) ? c_reset1 : + cpu_state; + assign cpu_slowevent = cpu_slowcount == 2'b11; + + always @(posedge cpu_clk) + if (cpu_slowevent) begin + cpu_state <= cpu_state_next; + end + + assign reset_state_next = + (reset_state == r_init) ? r_reset1 : + (reset_state == r_reset1) ? r_reset2 : + (reset_state == r_reset2) ? r_reset3 : + (reset_state == r_reset3 && lpddr_calib_done) ? r_reset4 : + (reset_state == r_reset4 && cpu_state != c_idle) ? r_wait : + (reset_state == r_wait & ~pressed) ? r_idle : + (reset_state == r_idle && pressed) ? r_reset1 : + reset_state; + + always @(posedge sysclk) + if (sys_medevent) begin + reset_state <= reset_state_next; + end + + always @(posedge sysclk) begin + sys_medcount <= sys_medcount + 6'd1; + end + + assign sys_medevent = sys_medcount == 6'b111111; + + always @(posedge sysclk) begin + sys_slowcount <= sys_slowcount + 12'd1; + end + + assign sys_slowevent = sys_slowcount == 12'hfff; + + always @(posedge sysclk) + if (sys_slowevent) + hold <= { hold[8:0], button_r }; + + assign press_detected = hold == 10'b1111111111; + + always @(posedge sysclk) + if (sys_slowevent) + press_history <= press_detected; + + assign pressed = (!press_history && press_detected); + +endmodule + +`default_nettype wire + +// Local Variables: +// verilog-library-directories: (".") +// End: ADDED support_mister.v Index: support_mister.v ================================================================== --- support_mister.v +++ support_mister.v @@ -0,0 +1,174 @@ +// support_lx45.v --- ---!!! + +`timescale 1ns/1ps +`default_nettype none + +module support_cyc2(/*AUTOARG*/ + // Outputs + boot, dcm_reset, halt, interrupt, lpddr_reset, reset, + // Inputs + button_b, button_c, button_h, button_r, cpu_clk, lpddr_calib_done, + sysclk + ); + + input button_b; + input button_c; + input button_h; + input button_r; + input cpu_clk; + input lpddr_calib_done; + input sysclk; + output boot; + output dcm_reset; + output halt; + output interrupt; + output lpddr_reset; + output reset; + + //////////////////////////////////////////////////////////////////////////////// + + parameter + c_init = 3'd0, + c_reset1 = 3'd1, + c_reset2 = 3'd2, + c_reset3 = 3'd3, + c_boot = 3'd4, + c_wait = 3'd5, + c_idle = 3'd6; + parameter + r_init = 3'd0, + r_reset1 = 3'd1, + r_reset2 = 3'd2, + r_reset3 = 3'd3, + r_reset4 = 3'd4, + r_reset5 = 3'd5, + r_wait = 3'd6, + r_idle = 3'd7; + + reg [11:0] sys_slowcount; + reg [1:0] cpu_slowcount; + reg [2:0] cpu_state; + reg [2:0] reset_state; + reg [3:0] lpddr_reset_holdoff_cnt; + reg [5:0] sys_medcount; + reg [9:0] hold; + reg press_history; + + wire [2:0] cpu_state_next; + wire [2:0] reset_state_next; + wire cpu_in_reset; + wire cpu_slowevent; + wire lpddr_reset_holdoff; + wire press_detected; + wire pressed; + wire sys_medevent; + wire sys_slowevent; + + /*AUTOWIRE*/ + /*AUTOREG*/ + + //////////////////////////////////////////////////////////////////////////////// + + assign interrupt = 1'b0; + assign halt = 1'b0; + + initial begin + reset_state = 0; + cpu_state = 0; + sys_slowcount = 0; + sys_medcount = 0; + cpu_slowcount = 0; + hold = 0; + press_history = 0; + end + + always @(posedge cpu_clk or posedge dcm_reset) + if (dcm_reset) + cpu_slowcount <= 0; + else + cpu_slowcount <= cpu_slowcount + 2'd1; + + initial + lpddr_reset_holdoff_cnt = 0; + + always @(posedge sysclk) + if (lpddr_reset_holdoff_cnt != 4'd4) + lpddr_reset_holdoff_cnt <= lpddr_reset_holdoff_cnt + 4'd1; + + assign lpddr_reset_holdoff = lpddr_reset_holdoff_cnt != 4'd4; + + assign cpu_in_reset = (reset_state == r_init || + reset_state == r_reset1 || + reset_state == r_reset2 || + reset_state == r_reset3) || + (cpu_state == c_init || + cpu_state == c_reset1 || + cpu_state == c_reset2 || + cpu_state == c_reset3); + assign dcm_reset = reset_state == r_init; + assign lpddr_reset = (reset_state == r_init || reset_state == r_reset1) && + ~lpddr_reset_holdoff ? 1'b1 : 1'b0; + assign reset = cpu_in_reset; + assign boot = cpu_state == c_reset3 || cpu_state == c_boot; + assign cpu_state_next = + (cpu_state == c_init && reset_state == r_reset4) ? c_reset1 : + (cpu_state == c_reset1) ? c_reset2 : + (cpu_state == c_reset2) ? c_reset3 : + (cpu_state == c_reset3) ? c_boot : + (cpu_state == c_boot) ? c_wait : + (cpu_state == c_wait && reset_state == r_idle) ? c_idle : + (cpu_state == c_idle && reset_state == r_reset4) ? c_reset1 : + cpu_state; + assign cpu_slowevent = cpu_slowcount == 2'b11; + + always @(posedge cpu_clk) + if (cpu_slowevent) begin + cpu_state <= cpu_state_next; + end + + assign reset_state_next = + (reset_state == r_init) ? r_reset1 : + (reset_state == r_reset1) ? r_reset2 : + (reset_state == r_reset2) ? r_reset3 : + (reset_state == r_reset3 && lpddr_calib_done) ? r_reset4 : + (reset_state == r_reset4 && cpu_state != c_idle) ? r_wait : + (reset_state == r_wait & ~pressed) ? r_idle : + (reset_state == r_idle && pressed) ? r_reset1 : + reset_state; + + always @(posedge sysclk) + if (sys_medevent) begin + reset_state <= reset_state_next; + end + + always @(posedge sysclk) begin + sys_medcount <= sys_medcount + 6'd1; + end + + assign sys_medevent = sys_medcount == 6'b111111; + + always @(posedge sysclk) begin + sys_slowcount <= sys_slowcount + 12'd1; + end + + assign sys_slowevent = sys_slowcount == 12'hfff; + + always @(posedge sysclk) + if (sys_slowevent) + hold <= { hold[8:0], button_r }; + + assign press_detected = hold == 10'b1111111111; + + always @(posedge sysclk) + if (sys_slowevent) + press_history <= press_detected; + + assign pressed = (!press_history && press_detected); + +endmodule + +`default_nettype wire + +// Local Variables: +// verilog-library-directories: (".") +// End: ADDED top_cyc2.sv Index: top_cyc2.sv ================================================================== --- top_cyc2.sv +++ top_cyc2.sv @@ -0,0 +1,273 @@ +// System +// top_cyc2.v --- ---!!! + +`timescale 1ns/1ps +//`default_nettype none + +`define enable_mmc +`define enable_vga +`define enable_ps2 +`define enable_spy_port + +module top_cyc2(/*AUTOARG*/ + // Outputs + rs232_txd, led, vga_hsync, vga_vsync, vga_r, vga_g, vga_b, mmc_cs, + mmc_do, mmc_sclk, + + // Inouts + ms_ps2_clk, ms_ps2_data, + + // Inputs + rs232_rxd, sysclk, kb_ps2_clk, kb_ps2_data, mmc_di, switch, + //LPDDR2 + lpddr2_ca, lpddr2_dq, lpddr2_dm, lpddr2_dqs1n, lpddr2_dqs1, + lpddr2_dqs0n, lpddr2_dqs0, lpddr2_cke, lpddr2_csn, lpddr2_ckn, lpddr2_ck, + ); + + input rs232_rxd; + output rs232_txd; + output [3:0] led; + input sysclk; + input kb_ps2_clk; + input kb_ps2_data; + inout ms_ps2_clk; + inout ms_ps2_data; + output vga_hsync; + output vga_vsync; + output vga_r; + output vga_g; + output vga_b; + output mmc_cs; + output mmc_do; + output mmc_sclk; + input mmc_di; + input switch; + //LPDDR2 + output [9:0] lpddr2_ca; + inout [15:0] lpddr2_dq; + output [1:0] lpddr2_dm; + inout lpddr2_dqs1n; + inout lpddr2_dqs1; + inout lpddr2_dqs0n; + inout lpddr2_dqs0; + output lpddr2_cke; + output lpddr2_csn; + inout lpddr2_ckn; + inout lpddr2_ck; +/* + inout [15:0] mcb3_dram_dq; + output [12:0] mcb3_dram_a; + output [1:0] mcb3_dram_ba; + output mcb3_dram_cke; + output mcb3_dram_ras_n; + output mcb3_dram_cas_n; + output mcb3_dram_we_n; + output mcb3_dram_dm; + inout mcb3_dram_udqs; + inout mcb3_rzq; + output mcb3_dram_udm; + inout mcb3_dram_dqs; + output mcb3_dram_ck; + output mcb3_dram_ck_n; +*/ + //////////////////////////////////////////////////////////////////////////////// + + reg [3:0] clkcnt; + + /*AUTOWIRE*/ + // Beginning of automatic wires (for undeclared instantiated-module outputs) + wire boot; // From support of support_lx45.v + wire [15:0] busint_spyout; // From lm3 of lm3.v + wire dcm_reset; // From support of support_lx45.v + wire [4:0] disk_state; // From lm3 of lm3.v + wire fetch; // From lm3 of lm3.v + wire halt; // From support of support_lx45.v + wire interrupt; // From support of support_lx45.v + wire lpddr_calib_done; // From rc of ram_controller_lx45.v + wire lpddr_reset; // From support of support_lx45.v + wire [13:0] mcr_addr; // From lm3 of lm3.v + wire [48:0] mcr_data_out; // From lm3 of lm3.v + wire [48:0] mcr_data_in; // From lm3 of lm3.v + wire mcr_done; // From rc of ram_controller_lx45.v + wire mcr_ready; // From rc of ram_controller_lx45.v + wire mcr_write; // From lm3 of lm3.v + wire prefetch; // From lm3 of lm3.v + wire reset; // From support of support_lx45.v + wire [21:0] sdram_addr; // From lm3 of lm3.v + wire [31:0] sdram_data_cpu2rc; // From lm3 of lm3.v + wire [31:0] sdram_data_rc2cpu; // From lm3 of lm3.v + wire sdram_done; // From rc of ram_controller_lx45.v + wire sdram_ready; // From rc of ram_controller_lx45.v + wire sdram_req; // From lm3 of lm3.v + wire sdram_write; // From lm3 of lm3.v + wire spy_rd; // From lm3 of lm3.v + wire [3:0] spy_reg; // From lm3 of lm3.v + wire spy_wr; // From lm3 of lm3.v + wire vga_blank; // From lm3 of lm3.v + wire [14:0] vram_cpu_addr; // From lm3 of lm3.v + wire [31:0] vram_cpu_data_out; // From lm3 of lm3.v + wire vram_cpu_done; // From rc of ram_controller_lx45.v + wire vram_cpu_ready; // From rc of ram_controller_lx45.v + wire vram_cpu_req; // From lm3 of lm3.v + wire vram_cpu_write; // From lm3 of lm3.v + wire [14:0] vram_vga_addr; // From lm3 of lm3.v + wire [31:0] vram_vga_data_out; // From rc of ram_controller_lx45.v + wire [31:0] vram_cpu_data_in; // From rc of ram_controller_lx45.v + wire vram_vga_ready; // From rc of ram_controller_lx45.v + wire vram_vga_req; // From lm3 of lm3.v + // End of automatics + + //////////////////////////////////////////////////////////////////////////////// + + assign clk50 = sysclk; + + clkwiz clocking_inst(.inclk0(clk50), .c0(vga_clk), .areset(dcm_reset), .locked(vga_clk_locked)); + + initial clkcnt = 0; + always @(posedge clk50) + clkcnt <= clkcnt + 4'd1; + + assign cpu_clk = clkcnt[0]; + + support_cyc2 support + ( + .sysclk(clk50), + .button_r(switch), + .button_b(1'b0), + .button_h(1'b0), + .button_c(1'b0), + /*AUTOINST*/ + // Outputs + .boot (boot), + .dcm_reset (dcm_reset), + .halt (halt), + .interrupt (interrupt), + .lpddr_reset (lpddr_reset), + .reset (reset), + // Inputs + .cpu_clk (cpu_clk), + .lpddr_calib_done (lpddr_calib_done)); + + ram_controller_cyc2 rc + ( + .lpddr_clk_out(), + .clk(clk50), + .mcr_data_out(mcr_data_in), + .mcr_data_in(mcr_data_out), + .sdram_data_in(sdram_data_cpu2rc), + .sdram_data_out(sdram_data_rc2cpu), + .vram_cpu_data_in(vram_cpu_data_out), + .vram_cpu_data_out(vram_cpu_data_in), + // lpddr + .lpddr2_ca(lpddr2_ca), + .lpddr2_dq(lpddr2_dq), + .lpddr2_dm(lpddr2_dm), + .lpddr2_dqsn({lpddr2_dqs1n, lpddr2dqs0n}), + .lpddr2_dqs({lpddr2dqs1, lpddr2dqs0}), + .lpddr2_cke(lpddr2_cke), + .lpddr2_csn(lpddr2_csn), + .lpddr2_ckn(lpddr2_ckn), + .lpddr2_ck(lpddr2_ck), + /*AUTOINST*/ + // Outputs + .vram_vga_data_out (vram_vga_data_out[31:0]), + .lpddr_calib_done (lpddr_calib_done), + .mcr_done (mcr_done), + .mcr_ready (mcr_ready), + .sdram_done (sdram_done), + .sdram_ready (sdram_ready), + .vram_cpu_done (vram_cpu_done), + .vram_cpu_ready (vram_cpu_ready), + .vram_vga_ready (vram_vga_ready), + // Inouts + // Inputs + .mcr_addr (mcr_addr[13:0]), + .vram_cpu_addr (vram_cpu_addr[14:0]), + .vram_vga_addr (vram_vga_addr[14:0]), + .sdram_addr (sdram_addr[21:0]), + .cpu_clk (cpu_clk), + .fetch (fetch), + .lpddr_reset (lpddr_reset), + .machrun (machrun), + .mcr_write (mcr_write), + .prefetch (prefetch), + .reset (reset), + .sdram_req (sdram_req), + .sdram_write (sdram_write), + .sysclk (sysclk), + .vga_clk (vga_clk), + .vram_cpu_req (vram_cpu_req), + .vram_cpu_write (vram_cpu_write), + .vram_vga_req (vram_vga_req)); + + lm3 lm3(/*AUTOINST*/ + // Outputs + .sdram_addr (sdram_addr[21:0]), + .sdram_data_cpu2rc (sdram_data_cpu2rc[31:0]), + .sdram_req (sdram_req), + .sdram_write (sdram_write), + .vram_cpu_addr (vram_cpu_addr[14:0]), + .vram_cpu_data_out (vram_cpu_data_out[31:0]), + .vram_cpu_req (vram_cpu_req), + .vram_cpu_write (vram_cpu_write), + .spy_reg (spy_reg[3:0]), + .busint_spyout (busint_spyout[15:0]), + .spy_rd (spy_rd), + .spy_wr (spy_wr), + .disk_state (disk_state[4:0]), + .fetch (fetch), + .prefetch (prefetch), + .mcr_addr (mcr_addr[13:0]), + .mcr_data_out (mcr_data_out[48:0]), + .mcr_write (mcr_write), + .mmc_cs (mmc_cs), + .mmc_do (mmc_do), + .mmc_sclk (mmc_sclk), + .vram_vga_addr (vram_vga_addr[14:0]), + .vram_vga_req (vram_vga_req), + .vga_blank (vga_blank), + .vga_r (vga_r), + .vga_g (vga_g), + .vga_b (vga_b), + .vga_hsync (vga_hsync), + .vga_vsync (vga_vsync), + .rs232_txd (rs232_txd), + // Inouts + .ms_ps2_clk (ms_ps2_clk), + .ms_ps2_data (ms_ps2_data), + // Inputs + .clk50 (clk50), + .reset (reset), + .sdram_data_rc2cpu (sdram_data_rc2cpu[31:0]), + .sdram_done (sdram_done), + .sdram_ready (sdram_ready), + .vram_cpu_data_in (vram_cpu_data_in[31:0]), + .vram_cpu_done (vram_cpu_done), + .vram_cpu_ready (vram_cpu_ready), + .cpu_clk (cpu_clk), + .boot (boot), + .halt (halt), + .interrupt (interrupt), + .mcr_data_in (mcr_data_in[48:0]), + .mcr_ready (mcr_ready), + .mcr_done (mcr_done), + .mmc_di (mmc_di), + .vram_vga_data_out (vram_vga_data_out[31:0]), + .vram_vga_ready (vram_vga_ready), + .vga_clk (vga_clk), + .kb_ps2_clk (kb_ps2_clk), + .kb_ps2_data (kb_ps2_data), + .rs232_rxd (rs232_rxd)); + + assign led[3] = 1'b0; + assign led[2] = disk_state[1]; + assign led[1] = disk_state[2]; + assign led[0] = reset; + +endmodule + +`default_nettype wire + +// Local Variables: +// verilog-library-directories: (".") +// End: ADDED ucadr-mcr-841.bin Index: ucadr-mcr-841.bin ================================================================== --- ucadr-mcr-841.bin +++ ucadr-mcr-841.bin cannot compute difference between binary files ADDED ucadr-mcr-841.hex Index: ucadr-mcr-841.hex ================================================================== --- ucadr-mcr-841.hex +++ ucadr-mcr-841.hex @@ -0,0 +1,3512 @@ +/* http://srecord.sourceforge.net/ */ +@00000000 00000100 00000000 0000C528 00000008 0000A704 00000000 00000090 +@00000007 00000008 0100A720 00000000 00000000 00000000 00000000 00000000 +@0000000E 00000000 00000208 009C6360 00000300 009CCB10 00000008 7C022731 +@00000015 00006001 80002810 00000008 80AADBD0 00000008 7C022731 00006101 +@0000001C 80002810 00000218 C0A8A010 00006209 80AAA3D0 00006201 C0002810 +@00000023 00000008 7C0227E1 00006301 80002810 00006401 05002890 00006501 +@0000002A 07002810 00005C00 10022890 00005C00 16022850 00005C00 16022890 +@00000031 00006501 13022850 00000000 290200D0 00005D00 0C0228D0 00005D00 +@00000038 0D022810 00000000 2D020010 00000000 2B0200D0 00000000 44020090 +@0000003F 00005C00 12022810 00006501 120228D0 00000008 7902A751 00006601 +@00000046 88002810 00000008 0F00A4B1 00000218 08AA8053 00006701 88002810 +@0000004D 00000008 0F00A4B1 00000218 08AA8093 00002200 160228D0 00000218 +@00000054 08AA80D3 00000008 0600A731 00006801 0408B410 0000EA00 4142C8D0 +@0000005B 00000008 3902A7E1 0000C008 880AA311 0000BD18 C00CE030 00006901 +@00000062 C0A8C810 0000ED08 03A8E140 00006A01 98002810 00006B01 C0A8C810 +@00000069 0000ED08 03A8A110 0000EB00 C0002810 0000BA00 C0A8C810 0000EC08 +@00000070 03A8E1A0 00006A01 98002810 00006B01 C0A8C810 0000EC08 03A8A170 +@00000077 00000218 800C0011 00006C01 04002810 00006901 88A0C810 00000008 +@0000007E 0F00A4B1 00000130 4CAAC902 00000218 70402E31 00000000 00AA1810 +@00000085 00006D09 034063C0 00006901 0440C810 00002400 88002810 00000008 +@0000008C 0202A511 00005C00 0C022850 00005C00 0A022850 00000130 4CAAC902 +@00000093 00000000 09AA1810 00000008 6C022741 00002700 09022890 00001F01 +@0000009A 470EC890 00000200 42022890 00002201 42022850 00000A01 60002810 +@000000A1 00006E01 460228D0 00006501 40002810 00006501 48002810 00006501 +@000000A8 48002810 00002400 49002850 00000218 04148810 00006F09 0F40E3B1 +@000000AF 00000000 04881850 00007001 050028D0 00000000 88141810 00000008 +@000000B6 0202A511 00000430 4CAAC902 00000218 03A8E091 00007109 0584E3C1 +@000000BD 00004501 68002810 00007201 C0002810 00007301 90002810 00000008 +@000000C4 0202A5F1 00000008 99002720 00000000 06081810 00006B01 88002810 +@000000CB 00000008 0F00A4B1 00006901 80A8B410 00007401 04002810 00006901 +@000000D2 88A0C810 00000008 0F00A4B1 00000218 70402E31 00006519 00AAE012 +@000000D9 00006901 0440C810 00007509 0640E370 00007401 0440B010 0000EE00 +@000000E0 8840C810 00000008 0F00A4B1 00000501 04A8C810 00007605 0440C810 +@000000E7 00006519 41422EA1 00000000 00000000 00000008 0700A681 00000000 +@000000EE 06A81850 00000030 7E64970C 00004501 68002810 00000008 02AEC610 +@000000F5 00006501 80002810 00000218 00B04012 00007701 08ACB410 00000008 +@000000FC 01022700 00004501 68002810 00000000 00000000 00000000 00000000 +@00000103 00000008 0800A691 00000000 06A81850 00004919 0408F8B0 00000208 +@0000010A 0848A370 00000008 53002701 00000000 04881810 00004909 0940A2A0 +@00000111 00000030 7E64970C 00004601 68002810 00000008 02AEC610 00006501 +@00000118 80002810 00000218 00B04012 00007701 08ACB410 00000008 01022700 +@0000011F 00004601 68002810 00000000 00000000 00000008 0202A511 00000000 +@00000126 06A81850 00007801 04002890 00002818 0A4A2A30 00000030 7E64970C +@0000012D 00004801 68002810 00000000 00000000 00000000 00000000 00000008 +@00000134 0202A511 00000000 06A81850 00007701 08ACB410 00004801 68002810 +@0000013B 00000008 0F00A7C1 00000008 0202A511 00000004 00000010 00000000 +@00000142 06A81850 00000008 0F00A7C1 00000218 0464009D 00000000 58441810 +@00000149 00001200 8894C810 00000008 0202A511 00000134 4CAAC902 00000218 +@00000150 02A88093 00000218 0464009D 00000000 58441810 00001200 8894C810 +@00000157 00000008 0202A511 00001334 4CAAC902 00007919 48A0E032 00000218 +@0000015E 0464A09C 00000B05 5848C810 00000218 02948093 00000218 0464A09C +@00000165 00001204 5844CC10 00000218 02948093 00000218 0464A09C 00000008 +@0000016C 0A002740 0000FB00 8848C810 00000218 0464A09C 0000021C 02D08093 +@00000173 00007A09 0B48E391 00000008 0F00A7C1 00000000 02D01890 00000030 +@0000017A 7B647A0C 00000218 0464A09C 00000000 02D41890 00000030 7B647A0C +@00000181 00000218 0464A09C 00000218 0464009D 00000000 58441810 00001200 +@00000188 8894C810 00000008 0202A511 00001330 4CAAC902 00000008 0CA883A0 +@0000018F 00000A18 D0A85D20 00000008 0202A5F1 00000034 40AAC901 00000000 +@00000196 00000010 00000008 0C002761 00000218 01A88053 00000A08 0014A302 +@0000019D 00000000 48281810 00000008 1300A7F1 00000000 88A0E410 00000008 +@000001A4 0202A511 00000000 48A81810 00000000 48141810 00000000 48281810 +@000001AB 00000230 3D0000C0 00000004 02D01890 00000000 00000010 00000B05 +@000001B2 5848C810 00000000 50281810 00001204 5844CC10 00000000 50281810 +@000001B9 00000008 53002701 00000B01 0448C810 0000791D 4840E032 00000000 +@000001C0 00000010 00000008 53002701 00001200 0444CC10 0000791D 4840E032 +@000001C7 00000000 00000010 00000008 0E00A791 00000008 AC00A740 00000008 +@000001CE 0E00A791 00000000 032818D0 00000008 B70027C0 00000000 02D01890 +@000001D5 00000000 01D01850 00000218 01145491 00005900 02002890 00000208 +@000001DC 0F18A300 00000008 AC00A7F1 00000008 0E0027C0 00006901 0118B490 +@000001E3 00000008 AC00A741 00005C08 0F28A3C1 00000008 0F14C980 00000000 +@000001EA 8828C710 00000008 0202A511 00000218 01A8E090 00000A00 0218C890 +@000001F1 00006901 0228B490 00000218 01146091 00000A04 0218CC90 00007B19 +@000001F8 0228E0B2 00000008 0000A706 00000008 0F58D6B0 00000218 065872D0 +@000001FF 00000208 0F6CE3B0 00002800 060028D0 00000008 0F6C99B1 00001B18 +@00000206 0A0E0730 00006519 06B040D2 00006901 0B6EB410 00002518 200A5DF0 +@0000020D 00002618 06085DF0 00008308 0F6CA3B1 00002101 48022810 00000008 +@00000214 65022791 00007C01 060028D0 00005C00 44022810 00000008 760227F0 +@0000021B 00002500 01002850 00000030 7C647A8C 00007D01 68002810 00000008 +@00000222 12002770 00000008 AC00A7F1 00000030 7C647A8C 00007D01 68002810 +@00000229 00000030 7D64730C 00007E19 4828A033 00000030 7C647A8C 00007D01 +@00000230 68002810 00000008 12002770 00000008 AC00A741 00000030 7C647A8C +@00000237 00007F01 68002810 00000030 7D64730C 00007E19 4828A033 00000030 +@0000023E 7C647A8C 00007F01 68002810 00000008 12002770 00000008 AC00A741 +@00000245 00000030 7C647A8C 00007F01 68002810 00000008 12002770 00000008 +@0000024C AC00A7F1 00000030 7B647A8C 00000218 0464A09C 00000030 7D64730C +@00000253 00007E19 4828A033 00000030 5964730C 00000030 7C647A0C 00000030 +@0000025A 5864738C 00000030 7C647A0C 00000030 5864730C 00000030 7C647A0C +@00000261 00000008 52002790 00000008 1300A721 00000030 7C647A8C 00000218 +@00000268 016453DC 00007001 0188C810 00000801 0610B4D0 00006519 206EE870 +@0000026F 00001100 0610B4D0 00008118 206EE070 00008118 481C7030 00006501 +@00000276 48002810 00006501 48002810 00000004 48281810 00000218 42122011 +@0000027D 00000008 14002700 00008001 48002810 00006501 48002810 00007801 +@00000284 0188C810 00000801 0610B4D0 00008119 206EE870 00001100 0610B4D0 +@0000028B 00008118 206EE070 00008100 40D43810 00006501 48002810 00006505 +@00000292 48002810 00000218 42122011 00000218 0164009D 00006809 1518A130 +@00000299 00000701 8818C810 00000008 0202A511 00000030 7F64730C 00000218 +@000002A0 76A8ACB1 00000008 0000A700 00000008 0000A701 00007E1D 48288013 +@000002A7 00000000 00000010 00000008 15185A60 00002218 03085DF0 00000218 +@000002AE 03D080D3 00000008 37002791 00000218 0118A090 00008219 4A28E092 +@000002B5 00000218 016453DC 0000651D 481CE032 00000000 48281810 00000208 +@000002BC AD2CA3E0 00000008 1D002701 00000218 012CE092 00008319 C0D0A013 +@000002C3 00000600 8028C810 00008219 0228E0B2 00006901 90A0B410 00000008 +@000002CA 0202A5F1 00000030 40AAC901 00006901 0118B490 00000208 0018A202 +@000002D1 00000008 16002720 00007E19 C0D0A013 00006909 F92CA3A0 00000008 +@000002D8 1D002701 00000218 012CE092 00000600 8028C810 00008219 0228E0B2 +@000002DF 00008419 C0D0A013 00006901 90A0B410 00000008 0202A5F1 00000030 +@000002E6 40AAC901 00000218 C0D0A013 00006901 90A0B410 00000008 0202A5F1 +@000002ED 00000030 40AAC901 00000008 16002760 00007701 0118B490 00006909 +@000002F4 AD2CA3E0 00006901 5844C810 00000218 039480D3 00006901 012CB490 +@000002FB 00000008 150027F0 00000008 1C00A7F1 00007709 F92CA3A0 00006901 +@00000302 5844C810 00000218 039480D3 00006901 012CB490 00000008 160027C0 +@00000309 00000008 1C00A7F1 00000008 18002770 00002218 03085DF0 00000218 +@00000310 03D080D3 00000000 01D01890 00000000 01D01850 00000000 48181810 +@00000317 00000008 19002720 00000000 48141810 00000008 180027F0 00002218 +@0000031E 03085DF0 00000218 03D080D3 00000008 19002720 00005C00 48002810 +@00000325 00002218 03085DF0 00000008 1C0027F1 00007701 01002890 00008419 +@0000032C C0D08033 00006901 9028C810 00000008 0202A5F1 00000030 40AAC901 +@00000333 00000218 C0D08033 00008219 9228E0B2 00000008 0202A5F1 00000034 +@0000033A 40AAC901 00000000 00000010 00000008 19002720 00000218 03D080D3 +@00000341 00000008 1C0027F1 00006901 01002890 00008319 C0D08033 00008219 +@00000348 9228E0B2 00000008 0202A5F1 00000034 40AAC901 00000000 00000010 +@0000034F 00000218 063C88D0 00007809 1A6CA3A1 00000034 663CA800 00008509 +@00000356 0F3CE2C1 00006901 883CC810 00000008 0202A511 00000130 4CAAC902 +@0000035D 00000008 00002702 00000218 03A880D3 00002318 03085DF0 00005C08 +@00000364 1B3CE320 00002218 03085DF0 00000208 0F18A2C1 00000008 1B5890C0 +@0000036B 0000AF08 1B3CE3C0 0000B100 0518C810 0000B208 1B50E2C0 0000B100 +@00000372 02002890 00000000 2C521850 0000B300 0550B410 00000008 21002700 +@00000379 0000B000 04002810 00000008 1A00A761 0000F500 883CC810 00000008 +@00000380 0202A511 00000008 28A889D0 00000218 04A8E012 0000EF00 8840C810 +@00000387 00000008 0202A511 00006901 02002850 00000030 3CA89700 00000030 +@0000038E 3DA85080 00000008 27589000 00000000 2B3E18D0 00000000 2C421810 +@00000395 00000000 2C2618D0 00000900 2C52CB50 00006901 069CB4D0 00001B18 +@0000039C 060CE0F0 00000008 21002700 00006901 2C6EC890 00005C08 1D3CE310 +@000003A3 00002218 03085DF0 00000208 0F18A2C1 00000008 1D5890B0 0000B408 +@000003AA 1D3CE3B0 0000B600 0518C810 0000B708 1D50E2B0 0000B600 02002890 +@000003B1 00000000 2D521890 0000B800 0550B410 00000008 21002700 0000B500 +@000003B8 04002810 00000008 1A00A761 0000F500 883CC810 00000008 0202A511 +@000003BF 00000008 29A88910 00000218 04A8E012 0000EF00 8840C810 00000008 +@000003C6 0202A511 00000200 02002850 00000030 3CA89700 00000030 3DA85040 +@000003CD 00000008 27589000 00000000 2D3E1810 00000000 2D421850 00000000 +@000003D4 2E261810 00000900 2D52CB90 00006901 069CB4D0 00001B18 060CE0F0 +@000003DB 00000008 21002700 00006901 2D6EC8D0 00000008 1B0027E0 0000F300 +@000003E2 8840C810 00000008 1D0027D0 0000F300 8840C810 0000EE00 8840C810 +@000003E9 00000008 0202A511 00000218 05A8E012 0000F100 8840C810 00000008 +@000003F0 0202A511 00000218 02A8E092 00000600 0528C850 00001408 2054E2A0 +@000003F7 00001518 05A8F820 0000ED00 8840C810 00000008 0202A511 00000000 +@000003FE 265A1810 00000218 02A8E052 00000A00 8A24C890 00000218 0528E050 +@00000405 00000208 20546340 00000600 0554C850 00001618 050C09B0 00000008 +@0000040C 0202A511 00006B01 0554B450 00000208 00542202 00009800 05A82890 +@00000413 00000008 20002730 00006B01 88A0C810 00000218 00B04012 00000030 +@0000041A 3D244000 0000000C 0058D002 00000030 3D244000 0000000C 00589002 +@00000421 00000030 3D244000 00000218 0218E272 00006F00 1B26CBD0 00007008 +@00000428 219C2160 00000000 26521850 00003418 06085DF0 00005C08 216C23A1 +@0000042F 0000F100 2642C890 00009900 C0002810 00009A04 90002810 00000008 +@00000436 0202A5F1 00008601 C0002810 00003500 90A8C810 00000008 0202A5F1 +@0000043D 0000A708 25082370 00001618 050C0FB0 0000AB08 2208A3A0 00000008 +@00000444 3C002701 0000AB00 C0002810 0000A618 0608F8F0 0000AB00 066CB4D0 +@0000044B 00001B00 0608B4D0 0000A700 296EC8D0 0000A708 2208A1A0 0000A700 +@00000452 060028D0 00000008 23002770 0000A600 296EC890 0000A708 222461D0 +@00000459 0000A700 04002810 00000000 04241810 00007000 1C42C810 00001000 +@00000460 0224B450 0000A600 88002810 00000008 0202A511 0000A600 290ACC90 +@00000467 0000A700 290EC8D0 00001830 4CAAC902 00006909 224062F0 00006901 +@0000046E 0440B410 0000A708 2608E3B0 0000A800 060028D0 00007000 1C6EC810 +@00000475 0000A600 056CC810 0000AA00 0550B410 00006519 C050E012 0000A900 +@0000047C 04002810 0000F200 9040C810 00000008 0202A5F1 0000F100 8840C810 +@00000483 00000008 0202A511 00000218 05A8E052 00001508 2550A370 0000EF00 +@0000048A 8840C810 00000008 0202A511 0000AA00 2952C890 00000030 3BA850C0 +@00000491 00000008 3C002701 0000A600 C0002810 00000D01 2A0228D0 0000A708 +@00000498 2308A370 00000208 212463F0 0000A618 290AF8B0 00000008 2600A7B0 +@0000049F 00000200 2A022810 00000200 2A0228D0 00001408 25546240 00001400 +@000004A6 2956B7D0 0000AA00 2956C890 00001500 2952B7D0 00006B09 249CA2A0 +@000004AD 00000008 240027A0 00006B01 290228D0 00000200 04002810 0000EF00 +@000004B4 8840C810 00000008 0202A511 00000008 26A8D870 0000F200 8840C810 +@000004BB 00000008 0202A511 00000218 05A8E012 0000F100 8840C810 00000008 +@000004C2 0202A511 00000218 05A8E052 00001408 2654A370 0000ED00 8840C810 +@000004C9 00000008 0202A511 00000000 2A421850 00000008 24002730 00000218 +@000004D0 2AAAE092 00008709 25402180 00006901 0440C810 00005D00 0E0228D0 +@000004D7 00000218 1C0EC013 00001618 05080FB0 00000000 C0000010 00008601 +@000004DE 060028D0 00003504 906CC810 00000008 0202A5F1 00000000 C0501810 +@000004E5 0000F100 9040C810 00000008 0202A5F1 0000B008 2740E350 00000000 +@000004EC 2B0200D0 0000B508 2740E370 00000000 2D020010 0000000C 0058D102 +@000004F3 00007000 1C1AC810 00000218 02D0E0D2 00003B18 02085DB0 00005C08 +@000004FA 0028E302 00006400 030028D0 00000008 210027A1 00006901 02002850 +@00000501 00006408 003CE302 00006909 272C62A0 00006901 022CB4D0 00000008 +@00000508 AD00A7E0 00000218 04D0E012 00000000 2B0200D0 00000000 2D020010 +@0000050F 0000A908 AD40E3E0 00000008 4D002760 00000000 290A18D0 00000008 +@00000516 2A01A721 00000218 0448A2B3 00000008 AD0027E0 00006F00 1B4AC8D0 +@0000051D 00008809 293CA340 00006901 02002850 00000008 1C002710 00000008 +@00000524 2B00A791 00000200 02002850 00000008 1E002700 00000008 2B00A791 +@0000052B 00001408 2918A260 00000008 1B00A710 00007001 02002850 00000218 +@00000532 7824A030 00000000 02001890 00000008 2B00A721 00000218 70248E30 +@00000539 00000000 00281810 00008909 29246370 00006901 0224C850 00008A01 +@00000540 02002850 00000218 78242631 00000000 02002890 00000008 2B00A721 +@00000547 00000218 70242E31 00000000 002A1810 00008B09 292463F0 00006901 +@0000054E 0224C850 00000A01 58002810 00000000 028C1850 00000008 2B002721 +@00000555 00000000 02941890 00000000 58241810 00000000 50281810 00000908 +@0000055C 2A886370 00006901 5824C810 00006501 C0002810 0000F100 9040C810 +@00000563 00000008 0202A5F1 00000008 1C00A710 00006519 C028E012 0000FC08 +@0000056A 00A8A102 0000FD08 00A8E102 00000000 C0281810 00008C01 80002810 +@00000571 00000034 40AAC901 00000000 02A81890 0000F500 883CC810 00000008 +@00000578 0202A511 00000000 05000050 00000218 4CA8E012 0000EE00 8840C810 +@0000057F 00000008 0202A511 00001500 05A8C850 0000F300 8840C810 00000008 +@00000586 0202A511 00000008 2BA849D0 00000218 04A8E012 00000000 04D01810 +@0000058D 0000F600 883CC810 00000008 0202A511 00000218 05A8E012 00000608 +@00000594 2C50E2A0 00000000 05181810 0000F700 883CC810 00000008 0202A511 +@0000059B 00000218 C0A8E012 00000218 0554E052 00001500 05A8B450 00001408 +@000005A2 2D54E150 00000008 2D589040 00001508 0F18E2C1 00000008 2D002750 +@000005A9 00000000 05541810 00000000 05181810 0000EF00 8840C810 00000008 +@000005B0 0202A511 00000008 2E5810A0 00000000 05A81850 00000218 065477D0 +@000005B7 00000030 3A6C8000 00008101 05543850 00001518 056C6970 0000F800 +@000005BE 883CC810 00000008 0202A511 00001518 05A88070 00000008 2E0027F1 +@000005C5 00001518 05243070 0000F500 883CC810 00000008 0202A511 00000000 +@000005CC 05A81810 00001418 D040E032 00000008 0202A5F1 00000000 C0501810 +@000005D3 0000F304 9040C810 00000008 0202A5F1 00008D01 060028D0 00000008 +@000005DA 2D0027B0 00001518 050C0870 00007705 060028D0 00001518 05080870 +@000005E1 00007601 0550C810 00000218 05502E21 00003900 0E0ACC50 00000218 +@000005E8 0650F8D1 00003A00 0E6EC890 00000601 02002890 0000C100 060028D0 +@000005EF 00006519 046CE831 00001400 0228C850 00001008 0F28E1C1 00000008 +@000005F6 3100A7E1 00000208 2F6C6370 00008E01 0228C890 00000908 2F28A180 +@000005FD 00001400 0228B490 00008F01 88002810 00000008 0202A511 00000218 +@00000604 04A8E012 00000208 0F40A3C1 0000F300 8840C810 00000008 0202A511 +@0000060B 00000000 C0A81810 00008F01 90002810 00000008 0202A5F1 00006519 +@00000612 C028E012 0000ED00 9040C810 00000008 0F00A4B1 00006519 C050E012 +@00000619 0000EE00 9040C810 00000008 0F00A4B1 00006519 C054E012 0000EF00 +@00000620 9040C810 00000008 0202A5F1 00001400 0228C850 00000008 3200A741 +@00000627 00008E01 0228C890 00000908 3128A110 00006501 C0002810 0000F100 +@0000062E 9040C810 00000008 0202A5F1 0000F204 9040C810 00000008 0202A5F1 +@00000635 00000218 05D0E012 00000008 2E0027F1 00000218 05D0E052 0000651D +@0000063C 0240E092 00000000 00000010 0000F018 8828F010 00000008 0F00A4B1 +@00000643 00000218 062832D0 00000218 066C23F0 00001B04 7078B410 00000218 +@0000064A 06A8E0D0 0000F018 8828F010 00000008 0F00A4B1 00000218 062832D0 +@00000651 00000000 20AA1850 0000021C 706C2330 00008118 D040E030 00000218 +@00000658 04D0E012 00006501 C0002810 0000EF00 9040C810 00000008 0202A5F1 +@0000065F 00007719 020C1F30 00000008 34002701 00009001 C0002810 00008F01 +@00000666 88002810 00000008 0F00A4B1 00000000 20AA1890 00006519 D040E012 +@0000066D 00000008 0F00A4B1 00008200 C0002810 0000F300 9040C810 00000008 +@00000674 0202A5F1 00000000 02481890 00000008 32002741 00000200 04002810 +@0000067B 00008E01 0228C890 00001308 3328A1A0 00005C04 02002890 00000000 +@00000682 00000010 00000218 02A83251 0000ED00 8840C810 00000008 0202A511 +@00000689 00000218 04A8E092 0000EE00 8840C810 00000008 0202A511 00000218 +@00000690 04A8E0D2 00001300 C448C8D0 00000008 27022791 00006B01 48A8B410 +@00000697 00001208 34A8E280 00000008 0000A702 00000630 66D4A800 00000218 +@0000069E 04D4E092 00007709 0F48A1C1 00000008 3700A721 00006901 8028C810 +@000006A5 00000000 D0D01810 00000008 0202A5F1 00000000 20D21850 00008118 +@000006AC C0D0F830 00000A1C 92D0F8B0 00000008 0202A5F1 00000008 3700A721 +@000006B3 00009119 8228E092 00000600 0228C850 00006901 0224B450 00000218 +@000006BA 01D0E0D2 00000218 01D0E092 00000008 36D44F50 00009219 04D0E0F2 +@000006C1 00009301 D01CC810 00000008 0202A5F1 00000700 8228CC90 00006519 +@000006C8 D01CE032 00000008 0202A5F1 00006901 8228C890 00000000 D04C1810 +@000006CF 00000008 0202A5F1 00000030 5E4CAD00 00000008 3600A7E0 00000000 +@000006D6 C0081810 00000908 36A0E1E0 00006901 90A0C810 00000008 0202A5F1 +@000006DD 00000908 36A0A1B0 00000008 004CD502 00006901 8028C810 0000651D +@000006E4 D018E032 00000008 0202A5F1 00000630 66D4A800 00000008 0FD409C1 +@000006EB 00000218 01D0E092 00000008 1B002701 00000218 03D080D3 00000008 +@000006F2 370027B0 00005C00 48002810 00005C00 48002810 00000008 1C00A7F1 +@000006F9 00000000 05181810 00000318 C0D0A013 00006901 8028B410 00006909 +@00000700 3850A230 00006901 90A0C810 00000008 0202A5F1 00007709 375062F0 +@00000707 00006901 0550B410 00008319 C0A8A013 00006905 90A0C810 00000008 +@0000070E 0202A5F1 00000008 38002790 00000000 02000050 00000000 02007850 +@00000715 00000008 2602A781 0000ED00 8828C810 00000008 0202A511 00005C08 +@0000071C 0028A302 00000218 01A88093 0000EF00 8828C810 00000008 0202A511 +@00000723 00000030 3BA85080 00000608 3914A380 00006901 8814B410 00000008 +@0000072A 0202A511 00000218 06A888D0 00009409 396CA380 00000030 3BA84240 +@00000731 00006901 0114B450 00008905 060028D0 00000518 026C98B0 00000000 +@00000738 88141810 00000008 0202A511 00000608 0F14A1B1 00000030 38A8A800 +@0000073F 00006901 8914B450 00007805 060028D0 00000518 026C98B0 00000208 +@00000746 3A24A3A0 00000008 3AA8CFC0 00006901 88A0B410 00000008 0202A511 +@0000074D 00007701 06A8C8D0 00001B00 0114B450 00000218 0114E052 00009505 +@00000754 060028D0 00000518 026C98B0 00000218 06A88DD0 00009609 3A6CA3E0 +@0000075B 00009705 060028D0 00000518 026C98B0 00009805 060028D0 00000518 +@00000762 026C98B0 00009905 060028D0 00000518 026C98B0 00000218 89A8E052 +@00000769 00000008 0202A511 00000430 4CAAC902 00000030 38A8A800 00000008 +@00000770 0F00A7B1 00000030 36A8AD00 00007C05 060028D0 00000518 026C98B0 +@00000777 00006F05 060028D0 00000518 026C98B0 00000208 3A24E380 00000218 +@0000077E 06A820D2 00001B04 0114C850 00009119 0214E092 00000000 2B161810 +@00000785 00000000 2B1A1850 00000000 2B2A1890 00000218 2AAAE0D2 00000008 +@0000078C 3C0027B1 00000200 43022850 00000C01 2952B4D0 00000C01 2A56C810 +@00000793 0000AE00 02002890 0000AD04 01002890 0000AC00 01002850 00000030 +@0000079A 10A46C80 00000218 04A4E012 00000218 88A8E012 00000008 0202A511 +@000007A1 00000200 05002810 00000200 43022810 00000030 3B405000 00000200 +@000007A8 05002850 00000008 0202A511 00006901 0550C810 00000218 06A888D0 +@000007AF 00009409 3D6CA390 00000030 35A842C0 00006901 88A0C810 00001018 +@000007B6 040C1F30 00006905 0550C810 00000000 00000010 00000030 32A8A800 +@000007BD 00009A01 05002810 00000218 06A8E0D2 00001B00 06A0B4D0 00000208 +@000007C4 0F6CE1B1 00001B00 0550B410 00000218 80A8E012 00000008 26022791 +@000007CB 00006519 01A0E052 0000ED00 8828C810 00000008 0202A511 00006901 +@000007D2 0550C810 00006901 01A8B490 0000F100 8828C810 00000008 0202A511 +@000007D9 00009B19 0114E052 00000600 01A8C890 00000218 0118E092 00000218 +@000007E0 8014E012 00000608 00A0E102 00006901 88A0C810 00000008 0202A511 +@000007E7 00000218 06A880D3 00000508 3E6C23F0 00006901 0554C850 00006905 +@000007EE 0554B450 00000000 00000010 00000008 0202A511 00000218 06A888D0 +@000007F5 00009C09 006CE302 00000008 3F002770 00000000 88A81810 00000008 +@000007FC 3F002771 00007701 88A8C810 00000008 00002702 00000218 05A8E012 +@00000803 00000030 30A8AD00 00007705 05002850 00000000 00000010 00007805 +@0000080A 05002810 00000000 00000010 0000021C 05A82052 00006901 0554C850 +@00000811 00000218 05A8DF11 00006901 88A0C810 00000008 0202A511 0000021C +@00000818 05A8E052 00001400 0554B450 00000218 05A82012 00001400 88A0C810 +@0000081F 00000008 0202A511 00000218 01A8E052 00000218 02145490 00000218 +@00000826 01142091 00000008 4114D580 00006901 88A0C810 00000008 0202A511 +@0000082D 00006901 0228C890 00000218 01A8E092 00006901 80A0B410 00000008 +@00000834 411410C0 00000A00 0550C810 00000030 2E14AD00 00000600 0550C810 +@0000083B 00000604 0550C810 00000000 00000010 00009D05 0118C890 00000218 +@00000842 05185B52 00009E05 0118C890 00000218 05187C52 00009F05 0118C890 +@00000849 00000218 05189D52 00007805 0118C890 00000218 0518BE52 00006905 +@00000850 0118C890 00000218 0518DF52 00000004 00000010 00000000 05181850 +@00000857 00000004 00000010 00000600 0518C850 00000008 420027F0 00009701 +@0000085E 01002850 0000A001 01002850 00007701 88A0B410 00000008 0202A511 +@00000865 0000AB00 43022850 00000008 3F002771 00000000 88A81810 00000218 +@0000086C 06A080D3 00002608 436CA3B0 00000500 88A0B410 00000008 0202A511 +@00000873 00006901 06A8C8D0 0000021C 066CE0D2 00001B00 431AB410 00000008 +@0000087A 43588F60 0000A009 4414A310 00000A01 5888B410 00000901 068CC8D0 +@00000881 00002205 066CB4D0 00001B00 431AB010 00001E01 060028D0 00001F05 +@00000888 066CB4D0 00001B00 431AB010 00000008 3800A781 00000008 3C0027B1 +@0000088F 00000218 C028E012 00000000 80000010 00000C05 0550B410 00006519 +@00000896 0250E092 00000008 3800A781 00000008 3C0027B1 00000218 C028E012 +@0000089D 00000000 80000010 00001504 0550C810 00006519 0250E092 00005C00 +@000008A4 0E0228D0 00000000 1B0200D0 00000000 1C020010 00005C00 10022890 +@000008AB 00004700 110ACCD0 00000000 2B0200D0 00000000 2D020010 00000218 +@000008B2 04D08013 00000218 064088D0 00009A09 476CA320 00008701 04002810 +@000008B9 0000EF00 8840C810 00000008 0202A511 00000218 06A877D0 00007709 +@000008C0 476CA300 00008D09 476CA300 00000208 454062B0 00006901 0440B410 +@000008C7 00000008 65022791 00002818 060C06F0 00008C01 80002810 00008A01 +@000008CE 02002850 00000218 78242631 00000000 C0002810 00001030 4CAAC902 +@000008D5 00000218 70242E31 00000000 00AA1810 00008B09 46246360 00006901 +@000008DC 0224C850 00000008 6E02A701 0000281C 0A6EA030 00005C00 02002890 +@000008E3 00000008 46002700 00000008 4700A751 0000A101 68002810 0000EF00 +@000008EA 8840C810 00000008 0202A511 00006901 060028D0 0000A201 20AA1050 +@000008F1 00008118 D06C6930 00000008 0202A5F1 00000008 34002700 00005C00 +@000008F8 02002810 00000030 5D64738C 00000218 0164219D 00000008 48189701 +@000008FF 00000604 08ACC810 00000000 00000010 0000A309 00182102 00000618 +@00000906 010CAAA2 00000030 7FAD21C0 00000218 0164019E 0000000C 0018D002 +@0000090D 00000618 010CD1A1 00000218 04288013 00005C08 4740A3D0 0000A309 +@00000914 0018A102 00000030 7FAD21C0 00000008 0000A702 00000218 04288013 +@0000091B 00005C08 4740E3D0 0000A309 0018A102 00000030 7FAD21C0 00000008 +@00000922 0000A702 00000030 7828A800 00000008 4800A780 00000008 4700A7D0 +@00000929 00000030 7828A800 00000008 4700A7D0 00000008 4800A780 00000218 +@00000930 04288013 00005C08 4740A3D0 00000008 48002780 00000000 00D01810 +@00000937 00000218 04288013 00005C08 4740E3D0 00000008 48002780 00000000 +@0000093E 00D01810 00000000 02D01890 00000630 66D4A800 00000000 04D01890 +@00000945 00000630 6628A800 00000218 78280910 00000A18 0408F8E0 0000021C +@0000094C 78480910 00001218 0408F8A0 00006901 5888B410 00000218 049498A0 +@00000953 00006509 4C48E3A0 00000218 04D498A0 00006509 4C48E3A0 00000000 +@0000095A 02D41890 00000008 4A002721 00000000 04941890 00000208 4C4CA110 +@00000961 00006901 060028D0 00000208 4C4CA380 00000000 054C1810 00000008 +@00000968 4B50C090 00000008 0A012751 00000000 006C1B10 00001318 049C17E1 +@0000096F 00000208 4B4C2390 00000000 069C18D0 00000308 4C4CE3A0 00000000 +@00000976 05501820 00000208 4C50A380 00000008 0A012751 00000000 00481B10 +@0000097D 00001318 049C17E1 00000208 4C4CE3A0 00000008 4B002720 00000000 +@00000984 049C1890 00000000 060818D0 00006909 4C48E280 00000308 4C48A180 +@0000098B 00000208 0F48A3C1 00000000 064818D0 00000008 4C4C8080 00006901 +@00000992 060028D0 00007705 6088B410 00006519 026CE0B2 00000000 01D01850 +@00000999 00000000 01D01890 00000008 1300A7F1 00000630 350000B0 00000000 +@000009A0 48A81810 00007E19 48188013 00008319 48148013 00000230 350000A0 +@000009A7 00000000 02D01890 00000218 042880D3 00000218 04D08093 00001308 +@000009AE AD48E3E0 00005D04 02002890 00000000 00000010 00000008 0B0027E0 +@000009B5 00000008 AF00A751 00000008 0B0027E0 00000008 AC00A7F1 00000000 +@000009BC 48281810 00000008 0B0027E0 00000008 3401A751 00000000 48281810 +@000009C3 00000008 0B0027E0 00000008 3401A711 00000030 7A647A8C 00000218 +@000009CA 0464A09C 00000008 0B0027E0 00005C00 02002890 00000008 0B0027E0 +@000009D1 00006501 02002890 00000008 4F00A781 00005C00 02002890 00000A18 +@000009D8 D2245DA0 00000008 0202A5F1 00000034 40AAC901 00000000 00000010 +@000009DF 00000008 4F00A781 00000008 4E0027A0 00000000 02D01890 00000008 +@000009E6 5B002740 00000218 02D08093 00000000 02D01890 00000218 01D48810 +@000009ED 00009509 0F10E3C1 00000008 4E0027A0 00000008 4F00A7A1 00000030 +@000009F4 7A647A0C 00000218 0464A09C 00000000 89D01890 00000008 0202A511 +@000009FB 00001E01 047CC890 00002001 0448B490 00000008 0F48C9C1 00001030 +@00000A02 48AAC902 00000000 01A01890 00007B19 02A85D60 00000218 C4A88093 +@00000A09 00000008 50580070 00001E01 470ACC90 00001218 C00C1D30 00001618 +@00000A10 050C00B0 00001E01 90002810 00000008 0202A5F1 00001E01 470ACC90 +@00000A17 00000030 40AAC901 00007B19 C018E012 00001E01 90002810 00000008 +@00000A1E 0202A5F1 00000034 40AAC901 00000000 80181810 00000218 0464009D +@00000A25 00000000 58441810 00001200 8894C810 00000008 0202A511 00001030 +@00000A2C 48AAC902 00000218 01A88810 00007B1D 48A8E032 0000A409 0F10E3B1 +@00000A33 00000008 0000A701 00000000 88D41810 00000008 0202A511 00001330 +@00000A3A 4CAAC902 00000A18 D0A85D20 00000008 0202A5F1 00000030 40AAC901 +@00000A41 00000008 AC0027F1 00000000 02D01890 00000030 7828A800 00000004 +@00000A48 48281810 00000000 00000010 00000218 01D070F0 00000008 650027F0 +@00000A4F 00000000 02D01890 00000008 0000A701 00008319 4828A033 00000008 +@00000A56 0F588CC1 00000801 5888B410 00000000 028C18D0 00000801 5B0028D0 +@00000A5D 00000000 01941850 00000030 7214A800 00000008 6000A7D1 00000A01 +@00000A64 0440B410 00007B1D 04402031 00000901 0440C810 00000901 0440B410 +@00000A6B 00000A05 0440C810 00000218 04402011 00000008 5500A781 00000208 +@00000A72 542CA340 00000008 53002701 00000200 0444CC10 00008219 0240E0B2 +@00000A79 0000A501 5844C810 00000008 54948C60 00000008 1300A7F1 00000330 +@00000A80 35000090 00000000 48A81810 00000000 58441810 00007E19 4894A033 +@00000A87 00008319 4828A033 00000230 35000080 00000008 530027B0 00005C00 +@00000A8E 02002890 00007001 5B3CB450 00000000 01881890 00000030 35946C00 +@00000A95 00006901 5B34B450 00000008 5394C3D0 00000008 54002780 00006901 +@00000A9C 5B34B450 00000000 58181810 00006909 552CE210 00000008 54002790 +@00000AA3 00000218 02948093 00008419 5094A033 00006901 5818B410 00000008 +@00000AAA 54002790 00000218 5094A033 0000FA00 8880C810 00000008 0202A511 +@00000AB1 00000008 0000A702 00001100 583CB410 00001700 058CC8D0 00001B09 +@00000AB8 605E6201 00000000 043C1850 00000304 5844C810 00006519 502CA830 +@00000ABF 00000008 5500A781 00000008 0F00A7C1 00007701 8814C810 00000008 +@00000AC6 0202A511 00000130 4CAAC902 00006901 06B0B4D0 00000218 706CAC31 +@00000ACD 00000008 00002700 00000000 51A81850 00001E01 067CC8D0 00002001 +@00000AD4 066CB4D0 00000008 0F6CC9C1 00003118 C6085DF0 00000008 565800F0 +@00000ADB 00001E01 470ACC90 00001B18 C00C1D30 00001618 050C00B0 00001E01 +@00000AE2 90002810 00000008 0202A5F1 00001E01 470ACC90 00000000 0C161850 +@00000AE9 0000A601 C0002810 00001E05 90002810 00000008 0202A5F1 00000008 +@00000AF0 5600A771 00000000 88141810 00000008 0202A511 00000430 4CAAC902 +@00000AF7 00000218 06A888D0 0000A709 0F6CE3C1 00000000 01A01850 00007B19 +@00000AFE 01A8E0D2 00007801 881CC810 00000008 0202A511 00000130 4CAAC902 +@00000B05 00000218 02A88093 00005C08 5928A340 00000030 7428A800 00000008 +@00000B0C 0F00A7C1 0000A819 0214E012 00000000 88281810 00000008 0202A511 +@00000B13 00000130 4CAAC902 00000000 89A81890 00000008 0202A511 00006901 +@00000B1A 0220C810 00001030 48AAC902 00000218 06A880D3 00001B08 5920A320 +@00000B21 00000008 4F00A7C1 00000918 D0208013 00000008 0202A4B1 00000030 +@00000B28 6C1842C0 00006901 0228C890 00007001 8A1CC890 00000008 0202A511 +@00000B2F 00000130 4CAAC902 00000008 5B002710 00000000 01A81850 00000008 +@00000B36 5600A771 00003E18 C0085D30 00001E01 470ACC90 00001E01 90002810 +@00000B3D 00000008 0202A5F1 00001E01 470ACC90 0000A901 C0002810 00001E01 +@00000B44 90002810 00000008 0202A5F1 00003218 C0085D30 00001E01 470ACC90 +@00000B4B 00001E01 90002810 00000008 0202A5F1 00001E01 470ACC90 0000AA01 +@00000B52 C0002810 00001E01 90002810 00000008 0202A5F1 00000008 AC002741 +@00000B59 00008219 4A14E092 00000000 583C1810 00000000 51281850 00000008 +@00000B60 AC0027F1 00000000 02D01890 00000008 5B00A741 00000000 583C1810 +@00000B67 00000030 7214A800 00000000 00000010 00005C08 0028A302 00000008 +@00000B6E AC002741 00000000 02281810 00000000 01281890 00000008 AC0027F1 +@00000B75 00000000 02201890 00000008 AC002741 00000000 02281810 00000000 +@00000B7C 88181810 00000008 0202A511 0000A819 0228E0B2 00001030 48AAC902 +@00000B83 00000218 06A880D3 00001B08 5C28A350 00000008 4F00A7C1 00000918 +@00000B8A D0288013 00000008 0202A4B1 00000008 AC0027F1 00000000 02201890 +@00000B91 00000008 5B00A740 00000208 0F2CA3C1 00006901 583CC810 00000218 +@00000B98 019480D3 00005C00 01002890 00008219 0214E0B2 00008209 5D28A3F0 +@00000B9F 00005C00 0F022890 00000008 AC002741 00000000 48281810 00000218 +@00000BA6 01288810 00008909 5E10E3D0 00000008 AC002741 00000000 03281890 +@00000BAD 00000708 5E28A360 00000218 01288810 00008909 5E10A310 00000008 +@00000BB4 AC0027F1 00000000 02D01890 00000218 01288810 00008909 5C10A3F0 +@00000BBB 00003E08 5F18E390 00005C08 5E28E390 00000008 0F00A7C1 00000008 +@00000BC2 5D002780 00003200 48002810 00000000 48141810 00000008 000127C1 +@00000BC9 00000000 011C1850 00005C08 5D282380 00000000 01D01850 00000000 +@00000BD0 0CD21890 00000008 AC0027F1 00000000 02381890 00000000 583C1810 +@00000BD7 00000A18 51945D60 00000030 7214A800 00000000 00000010 00007809 +@00000BDE 0F10E3C1 00003E08 5F18E380 00000000 0FD21890 00007701 8828C810 +@00000BE5 00000008 0202A511 00000130 4CAAC902 00000218 01A88810 00007809 +@00000BEC 5F10A390 0000AB09 0F10E3C1 00000008 5C0027F0 00008219 02A8E092 +@00000BF3 00000000 00D01810 00003E00 48002810 00000008 5D002780 00005C00 +@00000BFA 0F022890 00000218 068488D0 00006909 606CA3D0 00000218 04B040D2 +@00000C01 00001E01 0478C890 00002001 0448B490 00000008 0F48C9C1 00006519 +@00000C08 030C1D30 00000C18 C0B04012 00001E01 470ACC90 00001E01 90002810 +@00000C0F 00000008 0202A5F1 00000218 068488D0 00000208 606C6330 00006519 +@00000C16 03081D30 00008601 5844C810 0000AC01 50943810 00000000 684C1810 +@00000C1D 00000218 068488D0 00006909 0F6CE3B1 00000000 58441810 00000218 +@00000C24 2096E272 00008100 06ACB4E0 00008601 5844C810 00001B18 20960F62 +@00000C2B 0000811C 50581030 00000218 0558C1A3 00000218 0194E272 00008601 +@00000C32 5844C810 00000218 2096C171 00008100 0814C810 00007701 08ACB410 +@00000C39 00000030 7FAD21C0 00000300 5844C810 0000021C 0694E0D0 00001B00 +@00000C40 4246C8D0 00000000 583C1810 00000A18 51945D60 00000030 7214A800 +@00000C47 00000000 00000010 00002118 0214E012 00002108 0F20E1C1 0000FD00 +@00000C4E 8820C810 00000008 0202A511 00000200 06002810 00000000 02A81890 +@00000C55 00000218 062888D0 00009A09 616CE3F0 0000FF00 8820C810 00000008 +@00000C5C 0202A511 00001100 583CB410 00001700 058CC8D0 00000218 06A8BAD0 +@00000C63 00000B08 986CE261 00000218 06A8A0D0 00000B08 986CA181 00001B09 +@00000C6A 605E6201 00000000 043C1850 00000300 5844C810 00006519 502CA830 +@00000C71 0000EA00 8828C810 00000008 0202A511 00000208 9960E360 00000218 +@00000C78 76A8ACB1 00000008 0000A701 00000218 062888D0 0000AD09 666CA311 +@00000C7F 00000809 7844E390 00000008 A75880D1 00000000 58441810 00000000 +@00000C86 01941850 0000A501 5844C810 00000000 019418D0 00000008 66948AA1 +@00000C8D 00000300 5844C810 00000008 669492E1 00000218 068488D0 00000208 +@00000C94 0F6CE3B1 00007001 6044B410 00000008 6A1C8CF1 00000218 201EF850 +@00000C9B 00008108 6A08A350 00008100 0644B4D0 00000218 426E2011 00000218 +@00000CA2 201EE050 00008100 5844B410 00000000 048C1850 00008100 055CB4D0 +@00000CA9 0000AE09 625EA171 00000008 66749E61 00000218 0194E052 00000300 +@00000CB0 5844C810 00000218 0694E0D0 00001B00 4246C8D0 00008601 5844C810 +@00000CB7 00001618 05941090 00000008 69948FA1 00000218 0614E2F2 00000218 +@00000CBE 2096C171 00008100 086CC810 00000030 7D1C9000 00007E19 4828A033 +@00000CC5 00000000 C0281810 00000300 80002810 00000030 40AAC901 00000008 +@00000CCC 00002702 00000000 02A81890 00009A01 46022810 00000000 48141810 +@00000CD3 00000008 BC0127B0 00006901 450228D0 00000200 80002810 00000200 +@00000CDA 03002810 00000008 0F00A7C1 00000008 0000A702 00000000 48281810 +@00000CE1 00000218 0494E010 00000000 58441810 00009A01 8894C810 00000008 +@00000CE8 0202A511 00000218 01A8C090 00000000 48181810 00001000 0118C890 +@00000CEF 00000000 48181810 00000008 1C0027F1 00005B18 03085DF0 00000000 +@00000CF6 01D01890 00000000 58441810 00000000 80281810 00000000 48281810 +@00000CFD 00007E19 D0948013 00000000 588CE410 00000008 0202A5F1 00006901 +@00000D04 0118B490 00000208 671862D0 00000000 80A0E410 00006901 80A0B410 +@00000D0B 00008319 D0A88013 00000008 0202A5F1 00000008 53002701 00000000 +@00000D12 04441810 00008219 0240E012 00008219 02D0E092 00000000 01D01890 +@00000D19 00000B01 04002810 00000000 58401810 00000218 069488D0 0000A409 +@00000D20 696CE350 00000000 88941810 00000008 0202A511 00000218 06A880D3 +@00000D27 00000808 696CE350 00000A18 D0A85D30 00000008 0202A5F1 00006901 +@00000D2E 0118B490 00000208 681862C0 00000000 0440E410 00000008 00002702 +@00000D35 00000000 02D01890 0000AC01 50941010 00000218 03B040D2 00001E01 +@00000D3C 88002810 00000008 0202A511 00006901 47A2B490 00000000 68A81810 +@00000D43 00000218 06A888D0 00009A09 0F6CE3B1 00000008 69A8C3C0 00000218 +@00000D4A 703CAC31 00000008 0000A700 00000000 60441810 00002600 80002810 +@00000D51 00009F01 88A0B410 00000008 0202A511 00000000 442A1810 00000130 +@00000D58 4CAAC902 00000A01 58A8C810 00001108 0F8CE3B1 00000008 79022700 +@00000D5F 0000A401 060028D0 0000AF01 68002810 00000000 60441810 0000A501 +@00000D66 0444C810 00000A01 5840B410 00000901 048CC810 00000008 70002701 +@00000D6D 00000200 030028D0 00009A01 5C44B410 00000008 00944302 00006901 +@00000D74 6040B410 00000008 6B002770 00007701 5C40B410 00000630 66D4A800 +@00000D7B 00000218 01D0E0D2 00006901 011CB4D0 00000208 6C1CA2C0 0000B001 +@00000D82 68002810 00000200 030028D0 00000008 6E002771 00000700 5888B410 +@00000D89 00000008 6B00A7D0 0000B001 68002810 00000200 030028D0 00000008 +@00000D90 6E002771 00007701 5888B410 0000B001 68002810 00000200 030028D0 +@00000D97 00000008 6E002771 00006901 5888B410 00000008 6E002740 00000000 +@00000D9E 02D41890 00000218 01D48053 00005C08 6D14A3A0 00000008 AC0027F1 +@00000DA5 00000000 02D41890 00005C08 AC28A330 00000008 AC002731 00000000 +@00000DAC 012818D0 0000B101 68002810 00000200 030028D0 00000008 6E00A781 +@00000DB3 00000008 6D002700 00000000 481C1810 00000218 02D08093 0000B101 +@00000DBA 68002810 00006901 030028D0 00000008 6E00A781 00000008 0000A702 +@00000DC1 0000B001 68002810 00000200 030028D0 00000008 6E002781 00000000 +@00000DC8 02D01890 00000008 0000A702 00000218 068488D0 00000208 636CA3C0 +@00000DCF 00000008 6EB0A740 00000000 02941890 0000A501 0444C810 00000A01 +@00000DD6 5840B410 00000901 048CC810 00000008 6F00A741 00000218 06A870D0 +@00000DDD 00007009 6F6CE320 00000218 06A8E0D0 00000208 6E6C63B0 00001B00 +@00000DE4 0440B410 00000008 0F00A7B1 00000008 70A8CCC0 00000008 7000A700 +@00000DEB 00000909 6F40A180 00000901 0640B4D0 00000A05 586CC810 00000000 +@00000DF2 C0941810 00000004 88401810 00000008 0202A511 00000909 6F40A1E0 +@00000DF9 00000901 0640B4D0 00000A05 586CC810 00000000 50A81810 00000004 +@00000E00 90401810 00000008 0202A5F1 00006901 0440B410 00000008 6F00A741 +@00000E07 00000630 66A8A800 00000218 03A84C90 00006909 7038E370 00000030 +@00000E0E 57A86F80 00000218 03A8A050 00000008 0FA8C3B1 00000008 6F002741 +@00000E15 00006901 0440B410 00000008 70A80310 00006901 0440B410 00000218 +@00000E1C 00B04012 00000008 0000A702 00000008 6F002741 00006901 0440B410 +@00000E23 00000008 70002710 00000218 04A8E012 00000208 0F34A2B1 00006901 +@00000E2A 0334B450 00000000 06A818D0 00001B18 C634A0F0 00000208 7134E380 +@00000E31 00001B18 C00C5430 00000008 6F00A7A1 00000008 6F002741 00006901 +@00000E38 0440B410 00000130 4CAAC902 00000008 6F0027A1 00006901 C2A8C8D0 +@00000E3F 00006901 882CB410 00000008 0202A511 00000A18 D0A85D20 00000008 +@00000E46 0202A5F1 00000208 7034A3C0 00000034 40AAC901 00000218 00B04012 +@00000E4D 00006909 733CA320 0000B201 0340C850 00000008 180027C1 00000000 +@00000E54 4A2818D0 00000008 6F002741 00000218 0434E012 00000130 4CAAC902 +@00000E5B 00000000 03A818D0 00000008 6F0027A1 00000000 C0281810 00000008 +@00000E62 B800A781 00000008 00002702 00000B00 02B02890 00000000 06A818D0 +@00000E69 00001B18 C00C5430 00000008 6F00A7A1 00000008 00002702 00000218 +@00000E70 00B04012 00000004 02D01890 00000000 00D01810 00007C01 46022810 +@00000E77 00000008 BC0127B0 00000000 450A18D0 00000218 44D28053 00000218 +@00000E7E 44D28013 00000218 04D08093 00005C08 00482302 00000218 02D08093 +@00000E85 00000000 48481810 00000008 73749E91 00000008 740027E0 0000B301 +@00000E8C 43022890 00000008 73741E91 00000218 44D28053 00000218 44D28013 +@00000E93 00000008 740027D0 0000B301 43022890 00000008 73741E91 00005C00 +@00000E9A 44022850 00005C00 44022810 00000218 02D08093 00000218 04D08093 +@00000EA1 00005D08 784823D0 00000000 434A18D0 00006509 7848A3D0 00000200 +@00000EA8 02002810 00000008 53002701 00000000 04441810 00000218 0140E092 +@00000EAF 00000008 53002701 00000801 04002810 00000218 0140E052 00000801 +@00000EB6 010028D0 00000608 7614E350 00000008 78002711 0000A501 0414C890 +@00000EBD 00000218 0148E010 00000208 7710A3D0 00000400 0118B490 00000218 +@00000EC4 0148F810 00000008 77488AB1 00000400 0114B450 00000608 751423B0 +@00000ECB 00000400 011CB4D0 00000008 78002711 00000000 04141890 00000E09 +@00000ED2 7648E3C0 00000008 78002711 00006901 0414C890 00000F09 7648A3F0 +@00000ED9 00005C08 7648A3F0 00000008 78002711 0000A501 0414C890 00000008 +@00000EE0 7600A700 00000208 7820A3D0 00000F01 01002850 00001001 01002890 +@00000EE7 00001101 010028D0 00000E01 02002850 00007B19 0220E032 00000008 +@00000EEE 0F00A7C1 00000000 431618D0 00000000 441A1810 00000000 441E1850 +@00000EF5 00000000 43261890 00000008 7500A720 00000008 00002702 00000000 +@00000EFC 02141810 00000F01 01002850 00001001 01002890 00001101 010028D0 +@00000F03 00000008 0F00A7C1 00000909 7848A150 00000500 0448B490 00000704 +@00000F0A 5848C810 00000218 04948093 00000000 88481810 00000008 0202A511 +@00000F11 00000134 4CAAC902 00000218 04A88093 0000B301 43022890 00005C00 +@00000F18 44022850 00005C00 44022810 00006501 430228D0 00000809 7E442320 +@00000F1F 00005C00 020028D0 00000801 5B002850 00000218 01948053 00000E09 +@00000F26 7D14E3D0 00000801 5808CC10 00000218 01948053 00005D08 7914A390 +@00000F2D 00005D00 04002890 00000F09 7D48A3D0 00005C08 7914A390 00000F09 +@00000F34 7D14E3D0 0000A501 5834C810 00000218 01948093 00000008 7D94CC50 +@00000F3B 00007001 5A34B410 00000630 6694A800 00000218 01944C50 00007709 +@00000F42 7C14E3F0 00000218 0394A090 00006901 5820B410 00000218 0294E052 +@00000F49 00000000 58441810 00000218 069488D0 00006F09 0F6CE3B1 00008601 +@00000F50 5844C810 00000000 069418D0 00001B18 5024C031 00000218 01848810 +@00000F57 00000E08 7B10A300 00000E08 0F10A1B1 00000218 01B04012 00000008 +@00000F5E 7A002790 00000008 A7108FD1 00000008 A700A7F1 00000208 7B20A1C0 +@00000F65 00007801 5820B410 00000218 0194E092 00000008 7B18C950 00000318 +@00000F6C 0118E0A2 00001E01 03002890 00001F01 0338B490 00000608 0F38A1B1 +@00000F73 00000608 7A38E3F0 00008601 5844C810 00000000 069418D0 00001B18 +@00000F7A 50581030 00001100 5834B410 00001700 058CC8D0 00000218 04342051 +@00000F81 00000F01 060028D0 00005D08 7C6CA320 00001109 7F2CE3F0 0000B401 +@00000F88 68002810 00000200 030028D0 00000008 6E00A781 0000B401 68002810 +@00000F8F 00000200 030028D0 00000008 6E002781 00000F01 02002890 0000B401 +@00000F96 68002810 00000200 030028D0 00000008 6E002781 00001001 02002890 +@00000F9D 00000008 64002700 00001101 02002890 00000008 0F94C3B1 00006901 +@00000FA4 5A20B410 00000008 7D94C350 00006901 5A20B410 00000008 790027D0 +@00000FAB 00000218 02202011 0000A501 5834C810 00000218 019470D0 00007009 +@00000FB2 0F1CE3B1 00000000 020C1810 00000218 0394E0D0 00000F00 0334B450 +@00000FB9 00000008 7A002790 00000000 03081890 0000A501 5834C810 00000218 +@00000FC0 0194F810 00000400 0134B410 00000008 780027D0 00000218 42122011 +@00000FC7 00000218 068488D0 00000208 7E6CA370 00000218 06B040D2 00000008 +@00000FCE 7E002720 00000008 A76C8FD1 00000F01 060028D0 00006509 636CA3F0 +@00000FD5 00001009 7E2CA3C0 00001001 440ECB10 00000008 7F9C89D0 00000008 +@00000FDC A75880D1 0000A501 5844C810 00000218 2096F850 00008100 0144B410 +@00000FE3 00000218 42122011 00000218 2096E050 00007001 6044B410 00008108 +@00000FEA 7F08A3F0 00000008 6B948C61 00008100 5844B410 00000000 048C1850 +@00000FF1 00008100 055CB4D0 0000AE09 625EA171 00008601 5844C810 00001618 +@00000FF8 05941090 00000008 69948FA1 00000008 7800A7D0 00001109 7F2CE3F1 +@00000FFF 00000008 6300A7F0 00000008 1300A7F1 00001101 48002810 00008319 +@00001006 48288013 00000130 340000F0 00000218 01D0E0D2 00000218 01D0E052 +@0000100D 00000218 02D08093 00000208 1314A330 00000000 58881810 00000500 +@00001014 0114C850 0000B501 50943810 00006901 588CB410 00007709 80146390 +@0000101B 00006901 0114B450 00000008 13002731 0000B501 50941010 0000A505 +@00001022 5888C810 0000B601 50943810 00000218 068488D0 00000208 816CA340 +@00001029 00000008 81B0A710 00000008 52002790 00008319 40D4A033 0000021C +@00001030 02D48093 00000000 00000010 00000218 04D0E092 00001100 5888B010 +@00001037 00001204 048CC8D0 0000B709 814CE2C1 00000008 0F00A7C1 00000008 +@0000103E 8100A781 00000208 AD48A3E0 00000008 53002701 00006901 0488C810 +@00001045 00005C18 480C3E30 00006909 82486210 00006901 0448B490 0000831D +@0000104C 40D48013 00008219 0240E092 00000218 01D0E052 00000208 AD14A3E0 +@00001053 00000008 53002701 00000500 5C88B410 00000218 010C3EB0 00006909 +@0000105A 8314A300 00000618 50948013 00006901 0114B450 00006901 588CC810 +@00001061 00006909 8214E2C0 0000831D 50948013 00008219 0240E092 00000300 +@00001068 5844C810 00000218 0194E090 00000600 01D0C890 00000600 0444C810 +@0000106F 00007701 5840C810 00000008 5300A701 0000B819 0240E0B2 00007701 +@00001076 0428CC10 0000B919 5040E032 00000000 588CE410 00000000 0440E410 +@0000107D 0000B919 5040E032 00000000 588CE410 00000008 53002701 00000000 +@00001084 04441810 00008219 5040E032 00000000 588CE410 00005904 04002810 +@0000108B 00008419 50408033 00000218 00B04012 00000218 02D08093 00000218 +@00001092 016453DC 00000000 02281810 00001100 5888B010 0000B701 018CB490 +@00001099 00005C08 8528A340 00000008 AC002741 00000A00 01002850 00007E19 +@000010A0 48288033 00000008 AC0027F1 00000500 02002890 00000208 841821B0 +@000010A7 00006901 0118C890 00000008 0F00A7C1 00007809 811CA310 00000008 +@000010AE 0000A702 00000008 8A002761 0000B301 02002890 00000008 850027E1 +@000010B5 00000218 03D080D3 00000F18 480C1D30 00000218 028488D0 00000008 +@000010BC 89002780 0000BA19 482CA030 00001E01 04002890 00001F01 0448B490 +@000010C3 0000651D 4848E032 0000BB01 48002810 00000008 8A002761 0000B301 +@000010CA 02002890 00000218 02D08013 00000008 890027B1 00000218 03D080D3 +@000010D1 00000008 8500A7E1 00000F18 480C1D30 00000218 028488D0 0000BA19 +@000010D8 482CA030 00000008 89002760 00001018 040C1D30 00000008 87002700 +@000010DF 0000BC01 030028D0 0000BD01 030028D0 00000008 8A002761 00000000 +@000010E6 02D01890 00006501 48002810 00000008 89002780 00000000 483C1810 +@000010ED 00000008 87002780 0000BC01 030028D0 0000BD01 030028D0 00000008 +@000010F4 8A002761 00000000 02D01810 00000008 890027B1 00000000 02D01890 +@000010FB 00006501 48002810 00000000 483C1810 00000008 89002760 00001018 +@00001102 040C1D30 00000218 068488D0 00000208 886CA340 00000218 00B04012 +@00001109 0000BE01 68002810 0000BE01 68002810 00000008 8A002761 00000000 +@00001110 02D01890 00005C00 48002810 00000008 53002701 00000000 04881810 +@00001117 00000000 48401810 00000008 89002780 0000BF01 48002810 00000218 +@0000111E 068488D0 00000208 896CA310 00000218 00B04012 0000BE01 68002810 +@00001125 0000BE01 68002810 00000008 8A002761 00000000 02D01810 00000008 +@0000112C 890027B1 00000000 02D01890 00000000 48401810 0000C019 4820A030 +@00001133 00000008 1300A721 0000A505 5810C810 0000B601 50943810 00000630 +@0000113A 6620A800 00000218 0220E012 0000C109 0F20E2C1 00000208 0F20A3C1 +@00001141 00000000 04881810 00000000 02201850 00005C18 480C3E30 00006909 +@00001148 8A246210 00006901 0224B450 00000008 53002700 00006901 0440C810 +@0000114F 00000218 066453DC 0000020C 006CA302 00000218 00B04012 00006901 +@00001156 5888B410 00000218 039480D3 00000008 0F012751 00000000 033C1890 +@0000115D 00000218 01D48053 00000218 0428A090 00000208 8B48E330 00000008 +@00001164 8C288B50 00000008 8C288C50 00000008 8C288E50 00000008 1300A7F1 +@0000116B 00000000 48381810 00000200 020028D0 00000030 7414A800 00000008 +@00001172 8C00A7B0 00000008 AC002741 00000000 02141890 00007E19 48288033 +@00001179 00000008 AC0027F1 00000000 02141890 00000030 7428A800 00000008 +@00001180 8C00A720 00000000 01281850 00000008 8B002780 00006901 022CC8D0 +@00001187 00008319 40D4A033 00000008 8C0027B0 00006901 022CC8D0 00006501 +@0000118E 48002810 0000BD01 48002810 00000008 1300A7D1 00000000 48381810 +@00001195 00000000 48141810 00006901 020028D0 00000218 068440D2 0000C209 +@0000119C 8D6CE320 00000218 00B04012 00000801 060028D0 0000A501 586CC810 +@000011A3 00000008 8D0027C0 0000C301 5094B410 00000008 8D00A7C1 00007705 +@000011AA 6088B410 00000000 00000010 00000218 068440D2 0000C209 8D6CE3B0 +@000011B1 00000218 00B04012 00000801 060028D0 0000A501 586CC810 0000C301 +@000011B8 5094B410 00000000 028018D0 00000B00 5888B410 00000000 038C18D0 +@000011BF 00000809 0F3CE3B1 00000000 01941850 00000030 7214A800 00000008 +@000011C6 5F00A7C1 00004400 8880C810 00000008 0202A511 00000134 4CAAC902 +@000011CD 00000000 00000010 00000008 8E002790 00006501 C0002810 00005C00 +@000011D4 C0002810 00000000 01A81850 00004400 8880C810 00000008 0202A511 +@000011DB 00001330 4CAAC902 00000518 D0A85D20 00000008 0202A5F1 00000034 +@000011E2 40AAC901 00000000 00000010 00000008 8E00A721 00000008 130027F1 +@000011E9 00000218 02A88093 00000004 48281810 00000000 00000010 00005C00 +@000011F0 48002810 00004400 8880C810 00000008 0202A511 00000218 06B04092 +@000011F7 00001618 05840F90 00000008 8F00A7D1 00000008 9100A730 00000000 +@000011FE 89A81890 00000008 0202A511 00000008 4F00A7C1 00000000 02D01890 +@00001205 00000A18 D2245DA0 00000008 0202A5F1 00000034 40AAC901 00000000 +@0000120C 00000010 00000218 06B04092 00001618 05840F90 00000000 58881810 +@00001213 00004400 0280C810 00000208 911CA330 00000008 911CC000 00000000 +@0000121A 88201810 00000008 0202A511 00000008 8F0027D1 00000000 48941810 +@00001221 00006901 0220C810 00000218 011CDFD3 00000008 90002790 00006901 +@00001228 588CB410 00000218 04B040D2 00001318 68581130 00000218 7068AC31 +@0000122F 00000008 0000A700 00000000 02801810 00000218 06B04092 00001618 +@00001236 05840F90 00000208 9120A330 00000008 0F58C0B1 00000008 A700A7F1 +@0000123D 00000008 910027A0 00006901 0220B410 0000C401 06002890 00000008 +@00001244 8E00A721 00000000 48A01810 00000000 48A81810 00000218 7668ACB1 +@0000124B 00000008 0000A701 00000000 88D01810 00000008 0202A511 00001330 +@00001252 4CAAC902 00000A18 D0A85D20 00000008 0202A5F1 00000034 40AAC901 +@00001259 00000000 00000010 00000008 92002700 0000C501 06002890 00000008 +@00001260 92002700 00007D01 06002890 00000008 92002700 0000C601 06002890 +@00001267 00000008 93002750 00000218 03D080D3 00002218 03085DF0 00000008 +@0000126E 37002791 00000000 01801890 0000821D 4A28E092 00000000 48281810 +@00001275 00000000 88D41810 00000008 0202A511 00001330 4CAAC902 00000A18 +@0000127C D0A85D20 00000008 0202A5F1 00000034 40AAC901 00006901 40D4C810 +@00001283 00000008 9300A791 00000004 00D01810 00000000 02D01890 00000008 +@0000128A 8D0027B0 00008319 48288033 00000000 068018D0 00000008 53002701 +@00001291 00001B00 0488B410 00000004 02401890 00000000 00000010 00004400 +@00001298 8880C810 00000008 0202A511 00001334 4CAAC902 00007B19 02A0E0B2 +@0000129F 00000008 84002791 00000200 010028D0 00008319 40D48033 00000008 +@000012A6 8D0027B0 00000801 0288B4D0 00000008 0F00A7B1 00000008 0F00A7B1 +@000012AD 00000008 0F00A7B1 00000008 0F00A7B1 00000008 0F00A7B1 00000008 +@000012B4 0F00A7B1 00000004 04801890 00001200 6088B410 00000000 04801890 +@000012BB 00001200 6088B410 00000008 A7848FD1 00000008 0000A702 00000008 +@000012C2 98741E21 00001100 583CB410 00001700 058CC8D0 00001B09 605E6201 +@000012C9 00000000 043C1850 00000000 88141810 00000008 0202A511 00000000 +@000012D0 06081810 00000000 290A1850 00000218 02A8E012 00000218 01208D90 +@000012D7 00006909 0F18E3B1 00000218 0320E091 0000A501 583CC810 00000008 +@000012DE 9B948C10 00000008 9B20CFA0 00007801 8814C810 00000008 0202A511 +@000012E5 00000030 66A8A800 00000218 01A8BAD0 00000B08 981CE261 00000218 +@000012EC 02A8A050 00000008 99A88B80 00000008 99A88C80 00006901 0024CB10 +@000012F3 00000F00 429EC8D0 00006519 209EE070 00008118 012CA8F0 00000300 +@000012FA 583CC810 00000000 501C1810 00000B08 9824A181 00000B08 9824A2A0 +@00001301 00005C00 48002810 00000008 970027E0 00006901 0224B450 00007001 +@00001308 46022810 00000000 48141810 00000008 BC0127B0 00006901 450228D0 +@0000130F 0000181C 060C0030 00000000 00000010 0000181C 060C0130 00000000 +@00001316 00000010 00009A01 8814C810 00000008 0202A511 00000218 02A8C090 +@0000131D 00000208 9928A310 00005C00 48002810 00006909 982862E0 00006901 +@00001324 0228B490 00000008 A7209021 00000218 0614E1F2 00000E00 086CC830 +@0000132B 00000208 00602302 00000218 42462011 00006519 4860E032 00000008 +@00001332 0F00A7C1 0000A508 9A08E3D0 00000B08 9A24A180 00000B00 0624B4D0 +@00001339 00005C00 48002810 00000208 996C62B0 00006901 066CB4D0 00006901 +@00001340 0024CB10 00000F00 429EC8D0 00000300 583CC810 00006519 202EA870 +@00001347 00008118 509CE030 00009A01 8814C810 00000008 0202A511 00000218 +@0000134E 02A8C090 00000008 980027D0 00006901 0228B490 00000008 53002701 +@00001355 00000900 043CCC10 00008219 4840E012 00000008 990027F0 00006901 +@0000135C 002CCB10 00006901 062CB4D0 00001B08 992423F0 00006901 006CCB10 +@00001363 00000008 0F00A7B1 0000C701 5C3CC810 00000630 6694A800 00000030 +@0000136A 5D946C00 00000218 29964C50 00000008 0F94C3B1 00006901 5C40B410 +@00001371 00000008 9694C3E0 00000008 9B002720 00006901 5C40B410 00009A01 +@00001378 8814C810 00000008 0202A511 00006901 5A3CC810 00007C01 0214C890 +@0000137F 00000000 432E18D0 00000218 20AAF950 00008100 0314C850 00000218 +@00001386 02A8F150 00000000 420278D0 0000A508 9C08A350 00000F01 430EC8D0 +@0000138D 00000F09 9F08E110 00006909 A324A1A0 00000000 88341810 00000008 +@00001394 0202A511 00006901 0224B450 00000008 9CA8D0C0 00000200 0334CC50 +@0000139B 00000030 5CA87C80 00000000 03A81810 00006909 0F24A1B1 00000000 +@000013A2 88341810 00000008 0202A511 00006901 0224B450 00000000 03A81810 +@000013A9 00000008 9D30D050 00000200 0334CC50 00000218 06305CD0 00007709 +@000013B0 0F6CE3B1 00000B09 9F08E2A1 00000000 60201810 00000008 A4309231 +@000013B7 00000008 9F002720 00000200 0334CC50 00000030 5C308000 00000008 +@000013BE 9E002720 00000200 0334CC50 00000200 8B34CC50 00000008 0202A511 +@000013C5 00000218 03A8E092 00000008 A4309241 00000030 5A309700 00000218 +@000013CC 019488D0 00000200 5A20CC10 00000200 0334CC50 00000008 9C002750 +@000013D3 00000F01 430EC8D0 0000A508 0F08E3B1 00000008 53002701 00000000 +@000013DA 04201810 00000B09 9F0862A1 00000F01 0220C810 00008219 4840E032 +@000013E1 00000008 A4309231 00000200 0334CC50 0000A508 9C08E3E0 00006909 +@000013E8 A324A1C0 00000000 88341810 00000008 0202A511 00006901 0224B450 +@000013EF 00000008 9FA8D080 00000200 0334CC50 00000030 5BA87C80 00000000 +@000013F6 03A81810 00006905 6020B410 00000000 422218D0 00000008 9F0027F0 +@000013FD 00001818 060C0030 00000B09 9F08E2A1 00005C00 48002810 00000008 +@00001404 A4301231 00000200 0220CC10 00000008 9F002720 00000200 0334CC50 +@0000140B 00000008 A030D270 00000008 A0002720 00000200 0228CC90 00000008 +@00001412 9F0027F0 00000B09 9F08E2A1 00000008 A030D220 00000008 A0002720 +@00001419 00000200 0228CC90 00000B09 9F08E2A1 00000030 5B308000 00000B09 +@00001420 A008E2D0 00000008 0F00A7B1 00006901 0334C850 00000008 9F30D2F0 +@00001427 00000000 88281810 00000008 0202A511 00001130 4CAAC902 00000000 +@0000142E 48A81810 00000008 A4301231 00000200 0220CC10 00005C00 40002810 +@00001435 00000008 9F002720 00000200 0334CC50 00000200 0334CC50 00000000 +@0000143C 88341810 00000008 0202A511 00000130 4CAAC902 00000008 A0002700 +@00001443 00000000 48A81810 00000200 0334CC50 00000000 88341810 00000008 +@0000144A 0202A511 00000130 4CAAC902 00000008 A10027D0 00000000 88A81810 +@00001451 00000200 8B34CC50 00000008 0202A511 00000030 59A87A80 00000218 +@00001458 04A8A090 00000218 04A8E090 00000008 A10027D0 00001200 8814C810 +@0000145F 00000008 A10027D0 0000FB00 8848C810 00000B09 0F08E2B1 00000B01 +@00001466 5848C810 00000008 A0002700 00000000 48941810 00000F00 5848CC10 +@0000146D 00000008 A0002700 00000000 48941810 00001818 060C0130 00000030 +@00001474 5B307C80 00000F01 0220C810 00001818 060C0130 00000F01 0220C810 +@0000147B 00000B09 9F08E2A1 00000B01 060028D0 00000F00 066CB4D0 00006519 +@00001482 206EE070 00000300 5844C810 00000008 99002720 00008118 502CA830 +@00001489 00000000 58881810 00000000 88281810 00000008 0202A511 00001E01 +@00001490 047CC890 00002001 0448B490 00000008 0F48C9C1 00001030 48AAC902 +@00001497 00007B19 89A8E0B2 00000008 0202A511 00001E01 470ACC90 00001030 +@0000149E 48AAC902 00000000 01A01890 00000218 04A88013 00000000 069418D0 +@000014A5 00001B18 D0A85D20 00000008 0202A5F1 00000718 010815F0 00000030 +@000014AC 40AAC901 00000000 C0401810 00000008 A55800A0 00000200 0228CC90 +@000014B3 00001018 C40C1D30 00001618 050C00B0 00001E01 90002810 00000008 +@000014BA 0202A5F1 00000030 40AAC901 00000000 C0181810 00001E01 9408CC10 +@000014C1 00000008 0202A5F1 00000034 40AAC901 00001E01 470ACC90 00007809 +@000014C8 9E1CA350 00009A09 9E1CA350 00007C09 9E1CA350 00000008 9E002750 +@000014CF 00001818 060C0430 00009A09 9E1CA350 00000008 A600A750 00007809 +@000014D6 9E1CA350 00000008 A600A750 00000218 019480D3 00005C08 9E1CA350 +@000014DD 00000030 7494A800 00000008 A600A750 00000008 9E00A750 00006F09 +@000014E4 9E1CA350 00000008 A600A750 00007001 8814C810 00000008 0202A511 +@000014EB 00000000 5A441810 00007C01 0214C890 00000008 0FA8CAB1 00000218 +@000014F2 01A8A0D2 00000208 001CA302 00000008 A41C0B41 00000200 5A20CC10 +@000014F9 00000008 A7002780 00000200 011CF8D0 00000008 A8002700 00000200 +@00001500 01005010 00000200 01002810 00001E01 88002810 00000008 0202A511 +@00001507 00001E01 470EC890 00001E01 470EC890 00001030 48AAC902 00000000 +@0000150E 03A81810 00001E01 8808CC10 00000008 0202A511 00000218 063088D0 +@00001515 00009509 0F6CE3B1 00001030 48AAC902 00000000 01A81890 00000000 +@0000151C 88301810 00000008 0202A511 00000618 D0A85D20 00000008 0202A4B1 +@00001523 00000008 A9188350 00000208 A810E300 00000008 00584E02 00006501 +@0000152A 01002890 00000008 A900A780 00006501 01002890 00000008 00584E02 +@00001531 00001618 050800B0 00003318 06085DF0 00005C08 006CE302 00000000 +@00001538 08AC1810 0000C805 10AC3810 00001618 050812B0 00000218 02186010 +@0000153F 00000008 0F58C0B1 00000008 A700A7F1 00000208 0020A302 00000008 +@00001546 A90027E0 00006901 0220B410 00000218 01186090 00000600 6188B490 +@0000154D 00000801 0688B4D0 00000008 006CD702 00000008 AB00A791 00000008 +@00001554 AA002750 00000000 60181810 0000021C 02D48093 00000000 00000010 +@0000155B 00000218 01D0E092 00000008 AA002740 00000218 02D08093 00001E01 +@00001562 02002890 00001F05 0228B490 00006519 0228E0B2 00000218 02D08093 +@00001569 00000218 02D0E012 00001F01 0220C810 00001E09 0020E102 00000008 +@00001570 0F58C0B1 00000008 AB002750 00000008 A700A7F1 00000801 04002810 +@00001577 00001108 0F40A3C1 0000A501 5840C810 00000218 0694F8D0 00001B00 +@0000157E 0640B4D0 00000218 426E2011 00007001 6040B410 00000008 0094CC02 +@00001585 00000008 6B002770 00006901 5C88B410 00000000 02D01890 00000130 +@0000158C 7028A800 00000000 88281810 00000008 0202A511 00000134 4CAAC902 +@00001593 00000218 02A88093 00000030 6D585FC0 00000218 02288093 00005C08 +@0000159A 0028A302 00000008 0F00A7C1 00000030 6D585D80 00000000 02D01890 +@000015A1 00000230 6E28A800 00000000 88281810 00000008 0202A511 00001A30 +@000015A8 4CAAC902 00000030 7FA84280 00006901 02A0C890 00000030 6D585B40 +@000015AF 00000218 02288093 00005C08 0028A302 00000008 0F00A7C1 00000030 +@000015B6 6D585900 00006901 88A0C810 00000008 0202A511 00000134 4CAAC902 +@000015BD 00000218 02A88093 00005C04 02002890 00000000 00000010 00000218 +@000015C4 02288093 00005C08 AD28A3E0 00007801 0228C890 00000008 AC0027F0 +@000015CB 00007B19 0228E0B2 00000000 01D41850 00000000 02D01890 00000008 +@000015D2 AC00A7F1 00000008 AC00A7F1 00000008 AC00A7F1 00000008 AC00A740 +@000015D9 00000000 02D01890 00000000 01281850 00000008 AC00A741 00000008 +@000015E0 AC00A741 00000008 AC00A741 00000008 AC00A740 00000000 01D41850 +@000015E7 00000000 02D01890 00000008 AC00A7F1 00000008 AC00A7F1 00000008 +@000015EE AC00A7F1 00000008 AC00A7F0 00000008 AC0027F1 00000000 02D41890 +@000015F5 00000008 AE0027E0 00000000 01D01850 00000008 AC00A7F1 00000008 +@000015FC AE00A7E0 00000008 AC002741 00000000 02D41890 00000008 AF002740 +@00001603 00000000 01D01850 00000008 AC00A741 00000008 AF00A740 00000000 +@0000160A 02D01890 00000000 01281850 00000008 AC00A7F1 00000008 AC00A7F1 +@00001611 00000008 AE00A7F0 00000008 AC002741 00000000 02D41890 00000008 +@00001618 B0002760 00000000 01D01850 00000008 AC00A741 00000008 B000A760 +@0000161F 00000000 02D01890 00000000 01281850 00000008 AC00A741 00000008 +@00001626 AC00A741 00000008 AF00A750 00000008 AC0027F1 00000000 02D41890 +@0000162D 00000008 B1002710 00000000 01D01850 00000008 AC00A7F1 00000008 +@00001634 B100A710 00000000 02D01890 00000000 01281850 00000008 AC00A741 +@0000163B 00000008 AC00A741 00000008 AE00A790 00000008 AC0027F1 00000000 +@00001642 02D41890 00000008 B10027C0 00000000 01D01850 00000008 AC00A7F1 +@00001649 00000008 B100A7C0 00000008 AC002741 00000000 02D41890 00000008 +@00001650 AE002780 00000000 01D01850 00000008 AC00A741 00000008 AE00A780 +@00001657 00000000 02D01890 00000000 01281850 00000008 AC00A741 00000008 +@0000165E AC00A7F1 00000008 B300A7A0 00000008 AC0027F1 00000000 02D41890 +@00001665 00000008 AC0027F1 00000000 01D01850 00000008 B300A7A0 00000008 +@0000166C AC00A7F1 00000008 B200A7D0 00000000 02D01890 00000000 01281850 +@00001673 00000008 AC00A741 00000008 AC00A741 00000008 AC00A741 00000008 +@0000167A AC00A7F0 00000008 AC0027F1 00000000 02D41890 00000008 B3002790 +@00001681 00000000 01D01850 00000008 AC00A7F1 00000008 B300A790 00000000 +@00001688 02D01890 00000008 AE0027E0 00000000 01281850 00000000 02D01890 +@0000168F 00000008 B0002760 00000000 01281850 00000000 02D01890 00000008 +@00001696 B10027C0 00000000 01281850 00000000 02D01890 00000008 AE002780 +@0000169D 00000000 01281850 00000000 02D01890 00000008 B3002790 00000000 +@000016A4 01281850 00000000 02D01890 00000008 B20027D0 00000000 01281850 +@000016AB 00000000 02D01890 00000008 B1002710 00000000 01281850 00000000 +@000016B2 02D01890 00000008 AF002740 00000000 01281850 00000008 AC002741 +@000016B9 00000000 02D41890 00000008 AC002740 00000000 01D01850 00000008 +@000016C0 AC0027F1 00000000 02D41890 00000008 AC002740 00000000 01D01850 +@000016C7 00000008 AC002741 00000000 02D41890 00000008 AC0027F0 00000000 +@000016CE 01D01850 00000008 AC0027F1 00000000 02D41890 00000008 AC0027F0 +@000016D5 00000000 01D01850 00000008 AC00A7E1 00000008 AC00A740 00000008 +@000016DC AC00A7E1 00000008 AC00A7F0 00007F01 68002810 00000218 02D08093 +@000016E3 00000030 66D4A800 00000008 0FD409C1 00000218 01D48093 00000218 +@000016EA 04D0E092 00000208 00482302 00000000 01281850 00005C08 AC28E3F1 +@000016F1 00006909 B7486260 00006901 0448B490 00000008 0000A702 00000218 +@000016F8 02D08093 00000218 03D080D3 00000330 6A3CA800 00005C08 0F3CA3C1 +@000016FF 00000030 6C585F80 00000000 883C1810 00000008 0202A511 00001330 +@00001706 4CAAC902 00000A18 D0A85D20 00000008 0202A5F1 00000034 40AAC901 +@0000170D 00000000 023C1890 00000218 02D08093 00000218 03D080D3 00000430 +@00001714 683CA800 00000030 6C585B40 00007801 033CC8D0 00000008 B8002780 +@0000171B 00007B19 033CE0F2 00000000 883C1810 00000008 0202A511 00001A30 +@00001722 4CAAC902 00000030 6CA84200 00000000 03A81850 00006901 88A0C810 +@00001729 00000008 0202A511 00001330 4CAAC902 00000A18 D0A85D20 00000008 +@00001730 0202A5F1 00000034 40AAC901 00000000 023C1890 00005C08 B928A370 +@00001737 00000000 483C1810 00000000 48A01810 00000000 C0A01810 00000030 +@0000173E 10A46C80 00000218 06A430D0 00000208 0F6CE3C1 00000000 48341810 +@00001745 00000000 48281810 00000008 26022711 00000218 48A8E012 00000008 +@0000174C 19002721 00000218 032880D3 0000C919 C028E032 00000000 90D01810 +@00001753 00000008 0202A5F1 00000034 40AAC901 00000218 02D08093 00000218 +@0000175A 02D08093 00000218 01D08093 00000608 4D28A360 00000218 04288890 +@00001761 00000218 041888D0 00001308 AD48E3E0 00000008 BC00A7D1 00009709 +@00001768 BD48A340 00000030 7628A800 00000008 AD00A7E0 00000000 48281810 +@0000176F 00000008 AC002751 00000000 48181810 00000000 01281890 00000008 +@00001776 AC002751 00000000 02D41890 0000CA09 BC84E260 00000008 BA00A7D1 +@0000177D 00005C08 BC28A340 00000008 AC0027F1 00000000 02D01890 00000000 +@00001784 01281890 00000008 AC0027F1 00000000 02D01890 00000008 BA00A7D0 +@0000178B 00007705 6088B410 00000000 00000010 00000008 1300A7F1 00000430 +@00001792 340000E0 00000000 48A81810 00000000 48281810 00000000 48181810 +@00001799 00000230 340000D0 00000008 BB00A7D0 00000030 5228A800 00007001 +@000017A0 01002850 00000218 00B04012 00000000 48181810 00000030 2818A800 +@000017A7 00000000 00000010 00000008 AD00A7E0 00000000 88281810 00000008 +@000017AE 0202A511 00000430 4CAAC902 00000218 04A88D90 0000A409 BD48A3A0 +@000017B5 00006F09 AD48E3E0 00000000 88181810 00000008 0202A511 00000430 +@000017BC 4CAAC902 00000218 04A88DD0 0000A409 BE4CA300 00006F09 AD4CE3E0 +@000017C3 00000A00 48002810 00006501 48002810 00000600 48002810 00006501 +@000017CA 48002810 00005C00 48002810 00000008 3D01A740 00000008 C000A7B1 +@000017D1 00000B08 0F20E3C1 00000630 66D4A800 00000218 03D0E012 00006909 +@000017D8 BF20E3A0 00006519 1032E032 00000008 C2189091 00000F08 0F30E1C1 +@000017DF 00000030 6418AD00 00000218 0F1680D3 00000801 060028D0 0000A501 +@000017E6 586CC810 00000000 019418D0 00000218 201EF850 00008100 046CB490 +@000017ED 00000218 424A2011 00007001 606CB410 00000008 6A1C8CF1 00000030 +@000017F4 7D1C9000 00007E19 4828A033 00000000 03241890 00006901 8B38B490 +@000017FB 00000008 0202A511 00006901 0220B410 00000000 00301B10 00000008 +@00001802 0A012751 00000218 04A8E092 00000630 66D4A800 00000218 04D0E092 +@00001809 00001200 039CC810 00006909 BE20A3B0 00000008 BF00A7B0 00009809 +@00001810 C06CA3B0 00000008 0F00A7C1 00000000 01D01850 00000218 061488D0 +@00001817 00009709 C06CE360 00000000 88141810 00000008 0202A511 00000430 +@0000181E 4CAAC902 00000000 01A01850 00000218 06A888D0 0000A009 0F6CE3B1 +@00001825 00000218 01A8E092 00000218 0214E052 00000218 02185410 00000800 +@0000182C 0224C850 0000021C 031820D1 00000008 C1189571 00006901 8814C810 +@00001833 00000008 0202A511 00006905 0224C850 00000218 03A8E0D2 00000008 +@0000183A C000A781 00000008 00185002 00006519 023CE0B2 00006901 8824C810 +@00001841 00000008 0202A511 00000004 00000010 00006519 02A8E0B2 00000008 +@00001848 C000A781 00000008 C118CFC0 00007701 8814B410 00000008 0202A511 +@0000184F 00000218 06A888D0 00009A09 C26CA300 00000008 C100A7C0 00000200 +@00001856 8824CC10 00000008 0202A511 00000130 4CAAC902 00000218 03A8E0D2 +@0000185D 00000000 88241810 00000008 0202A511 00000130 4CAAC902 00000218 +@00001864 06A888D0 00009709 006C6302 00000218 02A8E052 00000000 48141810 +@0000186B 00000000 48181810 00000000 48201810 00006519 48188D10 00000000 +@00001872 01A81850 00000008 C4A8C300 00007701 88A0C810 00000008 0202A511 +@00001879 00000130 4CAAC902 00000630 66A8A800 00000218 02A8E012 00000800 +@00001880 033CC8D0 00000800 0330C810 00000008 C00027B1 00006519 483CE012 +@00001887 00000008 C4185070 00000218 02D0E012 00006901 8824C810 00000008 +@0000188E 0202A511 00000218 03A8E0D2 00006519 06188DD0 00001B08 C4D4E3A0 +@00001895 00000F08 C420E1B0 00000000 032018D0 00000008 C5189000 00000000 +@0000189C 00D01810 00000000 02D01810 00000004 01D01890 00000000 01D01850 +@000018A3 00000000 88241810 00000008 0202A511 00000130 4CAAC902 00000218 +@000018AA 06A888D0 00009709 C36CA370 00000008 C40027C0 00000218 02A8E052 +@000018B1 00000218 03302090 00000218 2032BE52 00008100 8824C810 00000008 +@000018B8 0202A511 00000218 203A23B0 0000CB01 200228D0 00008200 0378B490 +@000018BF 00008318 70388030 0000021C 02A80090 00006501 02283890 00000218 +@000018C6 20325B52 00008100 8824C810 00000008 0202A511 00000218 20328090 +@000018CD 00000008 C50027D0 0000CC01 200228D0 00000218 03306090 00000218 +@000018D4 20327C52 00008100 8824C810 00000008 0202A511 00000218 203A61B0 +@000018DB 00000008 C50027D0 0000CD01 200228D0 00000218 03304090 00000218 +@000018E2 20329D52 00008100 8824C810 00000008 0202A511 00000218 203A42B0 +@000018E9 00000008 C50027D0 0000CE01 200228D0 00000218 03300090 00000218 +@000018F0 2032DF52 00008100 8824C810 00000008 0202A511 00000218 203A04B0 +@000018F7 00000008 C50027D0 0000CF01 200228D0 00000C00 8824C810 00000008 +@000018FE 0202A511 00000004 00000010 00006519 02A8E0B2 00000218 2032DF52 +@00001905 00008100 8824C810 00000008 0202A511 00000208 C8304060 00006519 +@0000190C 02A8E091 00006519 02A8F091 0000000C 0028D102 00000A18 020CF0B0 +@00001913 00000C00 8824C810 00000008 0202A511 00000134 4CAAC902 00000218 +@0000191A 02A88093 00000C00 0630C8D0 00001B00 8824C810 00000008 0202A511 +@00001921 00000000 48181810 00000000 48241810 00000000 48341810 00000000 +@00001928 03A81850 00006901 88A0C810 00000008 0202A511 00000000 48401810 +@0000192F 00000000 483C1810 00000008 2F012711 00000000 04A81890 00000000 +@00001936 03D018D0 00000000 04D01810 00000000 03D01850 00000004 02D01850 +@0000193D 00000000 01D01890 00000900 8830C810 00000008 0202A511 00000000 +@00001944 48181810 00000000 48241810 00000000 48341810 00000000 48401810 +@0000194B 00000000 483C1810 00000218 06A8F0D1 00001B18 06A8F0F1 00008419 +@00001952 046CC7B2 00000218 036CE950 00000208 58352311 0000D001 0334C850 +@00001959 00000008 596D81D1 00000008 C9002790 00000008 2F01A711 00007B01 +@00001960 02D028D0 00000008 C00027B1 00003F00 01002850 00000008 CB002790 +@00001967 00004018 0308F830 00008201 02D028D0 00000008 C00027B1 00003F00 +@0000196E 01002850 00004018 0308F830 00000218 06188DD0 00009C09 0F6CE3C1 +@00001975 00000008 C2189091 00000F08 0F30E1C1 00000B04 203238D0 00008300 +@0000197C 0224C890 00000008 C000A781 00000008 CC18CF30 00007701 8814B410 +@00001983 00000008 0202A511 00000218 06A880D3 00006509 AD6CA3E0 00008201 +@0000198A 020028D0 00000008 CB002770 00000200 03002810 00000000 00D01810 +@00001991 00000008 C00027B1 00003F00 01002850 00004018 0308F830 00000008 +@00001998 C2189091 00000F08 0F30E1C1 00000030 6218AD00 00000000 02D01890 +@0000199F 0000CB19 033023B0 00000218 2032BE52 00008100 8824C810 00000008 +@000019A6 0202A511 00000030 6628A800 00000000 20AA1850 00000000 70381810 +@000019AD 00008118 D0280030 00000008 0202A5F1 00000008 0000A702 0000CC19 +@000019B4 033080B0 00000008 CD002700 00000218 20325B52 0000CD19 033061B0 +@000019BB 00000008 CD002700 00000218 20327C52 0000CE19 033042B0 00000008 +@000019C2 CD002700 00000218 20329D52 0000CF19 033004B0 00000008 CD002700 +@000019C9 00000218 2032DF52 00000C00 8024C810 00000000 D0281810 00000008 +@000019D0 0202A5F1 00000008 0000A702 00000C00 8024C810 00000000 D0281810 +@000019D7 00000008 0202A5F1 00000034 40AAC901 00000000 00000010 00000C00 +@000019DE 8824C810 00000008 0202A511 00000A18 D0A85D20 00000008 0202A5F1 +@000019E5 00000034 40AAC901 00000000 00000010 00000000 03341890 00000000 +@000019EC 48281810 00000008 3001A711 00000C00 0630C8D0 00000000 C0341810 +@000019F3 00001B00 9024C810 00000008 0202A5F1 00000000 03381850 00000000 +@000019FA C0481810 00006901 90A0C810 00000008 0202A5F1 00000008 0000A702 +@00001A01 00000000 03341890 00000000 48281810 00000008 3001A711 00000208 +@00001A08 594921D1 00000218 06481FE0 00000218 0548C050 0000C109 D154A341 +@00001A0F 0000C101 0448C890 00000008 D1488161 0000D001 0334B450 00000208 +@00001A16 D034A2E0 00001B18 0648D9D2 00006B09 D03421E0 00001B18 0634F7F0 +@00001A1D 00001B18 060CC0F3 00000218 046CF091 00001218 C06CF031 00000C00 +@00001A24 9024C810 00000008 0202A5F1 00000004 03381850 00000000 00000010 +@00001A2B 0000000C 00489902 00000008 D000A780 0000021C 0448BF93 00006901 +@00001A32 0334C850 00000000 02D01890 00000008 C0002791 00000000 01D41850 +@00001A39 00000008 0F18CFC1 00007701 8814B410 00000008 0202A511 00000630 +@00001A40 66A8A800 00000218 03A8E012 00000218 25AA80D3 00000008 C2189091 +@00001A47 00000F08 0031E170 00007701 8014B410 00009700 D008CC10 00000008 +@00001A4E 0202A5F1 00000030 6018AD00 00009704 02D02890 00006501 02283890 +@00001A55 00000000 20321850 00008100 8024C810 00008319 D0288033 00000008 +@00001A5C 0202A5F1 00000030 40AAC901 00009708 0008A302 00006901 88A0B410 +@00001A63 00000008 0202A511 00007E1D D0A88033 00000008 0202A5F1 00000008 +@00001A6A D300A7D1 00000218 D2D08093 00000008 0202A5F1 00000034 40AAC901 +@00001A71 00000000 00000010 00000008 D300A7D1 00000000 88A01810 00000008 +@00001A78 0202A511 00000134 4CAAC902 00000218 02A88093 00000630 66D4A800 +@00001A7F 00000218 03D0E012 00000008 C0002791 00000000 01D41850 00000008 +@00001A86 0F18CFC1 00006901 8814B410 00000008 0202A511 00000218 20AAE052 +@00001A8D 00008108 0F30E1C1 00000000 00D01810 00007705 2032C850 00008100 +@00001A94 8014B410 00000008 C000A781 00000008 AD18CFE0 00000008 4D00A760 +@00001A9B 00000630 66D4A800 00000008 C0002781 00000218 03D0E012 00006909 +@00001AA2 0F20E3C1 00000008 C2189091 00000F08 0F30E1C1 00000030 6418AD00 +@00001AA9 00000000 00000010 00000008 0000A702 00000008 D300A7D1 00007B1D +@00001AB0 02A0E0B2 00000000 00000010 00000008 D400A7C1 00000030 5E18AD00 +@00001AB7 00000008 D500A760 00000008 0F00A7C1 00000630 66D4A800 00000008 +@00001ABE C0002781 00000218 03D0E012 00006909 0F20E3C1 00000008 C2189091 +@00001AC5 00000F08 0F30E1C1 00000030 6218AD00 00000000 02D01890 00000008 +@00001ACC D600A781 00000008 D500A790 00000008 D6002790 0000D101 68002810 +@00001AD3 0000D201 68002810 00000000 03D01890 00000008 C0002781 00000000 +@00001ADA 03D01810 00007709 0F20E3C1 00000218 0438E092 00000630 6630A800 +@00001AE1 00000630 6638A800 00000218 061815D0 00001B00 8814CC10 00000008 +@00001AE8 0202A511 00000008 0A012751 00000000 02A81B10 00000C04 039CC810 +@00001AEF 00000218 0330E012 00000008 D700A7B1 00000008 D500A790 00000008 +@00001AF6 D70027C0 0000D101 68002810 0000D201 68002810 00000000 03D01850 +@00001AFD 00000000 03D01890 00000008 C0002781 00000000 03D01810 00007809 +@00001B04 0F20E3C1 00000630 6634A800 00000218 04181590 00007701 0448C890 +@00001B0B 00001200 8814C810 00000008 0202A511 00000218 0434E092 00000008 +@00001B12 0A012751 00000000 00A81B10 00000E00 049CC890 00000008 D60027E0 +@00001B19 00000218 0448E092 00000000 02D01890 00000000 01D018D0 00000008 +@00001B20 DD002711 00000000 011C1850 00000000 033C1810 00000000 03241890 +@00001B27 00000008 DD002711 00000000 01281850 00000200 03002850 00000F08 +@00001B2E DB34E170 00000C08 D93461C0 00005C00 C0002810 00000000 88381810 +@00001B35 00000008 0202A511 00006901 0338B490 00000130 4CAAC902 00000000 +@00001B3C 90241810 00000008 0202A5F1 00000030 40AAC901 00006901 0224B450 +@00001B43 00000008 D9002750 00006901 0334C850 00000218 02D0E0D2 00000008 +@00001B4A C0002781 00000218 03D0E012 00000C00 022CB4D0 00000008 C2189091 +@00001B51 00000C00 022CC8D0 00000000 03301850 00000F08 0F2CE2C1 00000000 +@00001B58 012418D0 00000000 04181810 00000218 02D0E092 00000008 C0002781 +@00001B5F 00000218 03D0E012 00000C00 0228B490 00000008 C2189091 00000C00 +@00001B66 0228C890 00000F08 0F28E2C1 00000008 DB0027F0 00000000 032818D0 +@00001B6D 00000000 02D01890 00000000 01D018D0 00000008 DD002791 00000000 +@00001B74 01281850 00000000 011C1850 00000000 023C18D0 00000000 012418D0 +@00001B7B 00000000 03301850 00000008 DD002791 00000000 04181810 00000B08 +@00001B82 4D34E160 00000F08 DC30E1D0 00000030 6418AD00 00006519 4830E012 +@00001B89 00000000 02241810 00000000 03341810 0000D301 68002810 00000030 +@00001B90 6240AD00 00000000 021C1850 00006901 0334C850 00000218 03D0E012 +@00001B97 00006901 0330C810 00000008 DB0027F0 00000000 02201850 00006501 +@00001B9E 02002890 00000030 5E40AD00 00005C00 02002890 00000008 DC00A720 +@00001BA5 00000008 C000A791 00007701 0214B450 00000008 00184F02 00000200 +@00001BAC 030028D0 00006901 8814B410 00000008 0202A511 0000021C 03A8E0D2 +@00001BB3 00000000 00000010 00000008 C000A791 00000008 00185002 00000200 +@00001BBA 03002810 00000008 C200A790 00000218 02D0E012 00000218 01D0E0D2 +@00001BC1 00000218 01D0E092 00000218 01D0E052 00000800 0118B490 00000800 +@00001BC8 0114B450 00000208 AD1CA3E0 00000800 8914C850 00000008 0202A511 +@00001BCF 00000800 9118C890 00000008 0202A5F1 00000008 DE002730 00006901 +@00001BD6 011CB4D0 00000000 02D01890 00000030 5228A800 00005C00 02002890 +@00001BDD 00000008 4D00A760 00000000 02D01890 00000218 062888D0 00009A09 +@00001BE4 4D6CA360 00007C01 05002850 00007C09 AD6CE3E0 00000000 88281810 +@00001BEB 00000008 0202A511 00005D00 02002890 00000430 4CAAC902 0000021C +@00001BF2 06A88DD0 00001508 AD6CE3E1 00000000 02D01890 00000218 062888D0 +@00001BF9 0000D409 4D6CA360 00000008 DF002720 00007001 05002850 0000651D +@00001C00 02D08890 00000000 00000010 0000651D 02D0E092 00000000 00000010 +@00001C07 00000000 20D21850 00000004 02D01890 00008118 022898B0 00000218 +@00001C0E 02D08093 00000000 88D01810 00000008 0202A511 00001330 4CAAC902 +@00001C15 00000A18 D0A85D20 00000008 0202A5F1 00000034 40AAC901 00000000 +@00001C1C 00000010 00000008 E1002730 00000008 1101A741 00000008 E1002740 +@00001C23 00000218 04D0E092 00000000 88D01810 00000008 0202A511 00000000 +@00001C2A 04A81890 00000630 66D4A800 00000218 04D4A010 00000208 E540A3B0 +@00001C31 0000A709 0F40E2C1 00006901 0340B490 00000218 02D0BA50 00000900 +@00001C38 207AB490 0000821C 70388530 00006519 02480090 00000030 2AD4A800 +@00001C3F 00009401 01002850 00000630 66D4A800 00000218 04D4A010 00000208 +@00001C46 E540A3B0 00009909 0F40E2C1 00006901 0340B490 00000218 02D01A52 +@00001C4D 00001000 0478B4D0 00001308 E224E2A0 00000900 207AB490 0000821C +@00001C54 70388530 00006519 02480090 00001218 0448DF93 00000008 E2002760 +@00001C5B 00006901 0224B450 00000630 66D4A800 00000218 04D4A010 00009909 +@00001C62 0F40E2C1 00000218 02D41A52 00006901 02002810 00009D09 E324A190 +@00001C69 00006901 0220C810 00000D08 E3202220 00009D01 0224B450 00000218 +@00001C70 781C0E10 00000000 02081890 00000008 E5D0A720 00000800 8830C810 +@00001C77 00000008 0202A511 00009D01 01002810 00000008 E50027D1 00000000 +@00001C7E 04A81890 00006519 024CE092 00001008 E454A380 00000D08 E420A380 +@00001C85 00000800 8830CC10 00000008 0202A511 00001500 0340B090 00000218 +@00001C8C 70388530 00000218 04A80090 00001518 70388530 00000A18 024800B0 +@00001C93 00000008 001C4E02 00000218 02D01A52 00000300 02283090 00000000 +@00001C9A 80301810 00000208 E524A290 00006901 88A0C810 00000008 0202A511 +@00001CA1 00009D09 E524A150 00000208 E4A823C0 00009D01 0224B450 00006901 +@00001CA8 0440B410 0000021C 70408530 00006519 02280090 00006901 0224B450 +@00001CAF 00000218 70248530 00000218 06A800D0 00000208 E56CE320 00000008 +@00001CB6 E5002720 00006901 0228C890 00006505 02D02890 00000000 00000010 +@00001CBD 00000900 0440C8D0 00000408 E64CE260 00000000 05401850 00000208 +@00001CC4 00542302 00000200 040028D0 00000900 207AB490 00006901 0654B4D0 +@00001CCB 0000821C 706C8530 00000218 044800D0 00000400 044CB4D0 00000408 +@00001CD2 E6242100 00001300 0540B450 00000204 05002850 00000200 040028D0 +@00001CD9 00000208 0040A302 00000900 0540C850 00000408 E7546220 00006901 +@00001CE0 0640B4D0 00000200 04002810 0000091C 706C8530 00001318 044800F0 +@00001CE7 00000408 0024E102 00000400 0454B410 00001000 066CB4D0 00000918 +@00001CEE 706C8530 00001318 044800F0 00001B00 207AB090 0000821C 70408530 +@00001CF5 00000218 04480090 00000008 E8002760 00000008 1101A741 00000000 +@00001CFC 04D018D0 00000218 04D4A010 00000218 02D0BA50 00000000 04D01890 +@00001D03 00000008 E60027B1 0000A701 01002810 0000651D 024CE0B2 00000000 +@00001D0A 00000010 00000000 88D01810 00000008 0202A511 00000000 04A81890 +@00001D11 00000630 66D4A800 00000218 04D4A010 00000208 EE40A340 00006901 +@00001D18 0440B410 00000218 20D2BA50 00008118 70408530 00001218 D0D00030 +@00001D1F 00000008 0202A5F1 00000008 AD00A7E0 00007701 5888B410 00000030 +@00001D26 6694A800 00000030 2AD4A800 00008D01 01002850 00000630 66D4A800 +@00001D2D 00000218 04D4A010 00000208 EE40A340 00009909 0F40E2C1 00000218 +@00001D34 02D01A52 00000900 0440C8D0 00008A09 EA4CE2B0 00000208 EA482130 +@00001D3B 00006901 0340B490 00000918 70388530 00001218 04D000B0 00000208 +@00001D42 2A49E170 00000200 010028D0 00000008 2B0127E0 00000200 040028D0 +@00001D49 00000918 70388530 00001218 04D000B0 00000208 2A49A170 00001200 +@00001D50 0408B490 00000208 2B4963D0 00000200 040028D0 00000008 2B0127D0 +@00001D57 00006901 040028D0 00000208 ED482111 00000200 010028D0 0000D501 +@00001D5E 68002810 00001018 032406B2 00006519 4838E032 00006519 0248E032 +@00001D65 00000008 65012751 00000200 03002850 00000218 C020E012 00006901 +@00001D6C 9028C810 00000008 0202A5F1 00000218 031C2052 00000218 04D4A010 +@00001D73 00009909 0F40E2C1 00000008 ED1C8E31 00000218 02D01A52 00000218 +@00001D7A 04D0E092 00006901 02002810 00009D09 EC24A120 00006901 0220C810 +@00001D81 00000D08 EB2022D0 00009D01 0224B450 00000008 0F00A7C1 00000800 +@00001D88 8828C810 00000008 0202A511 00009D01 01002810 00000008 E60027B1 +@00001D8F 00000000 04A818D0 00000000 D04C1810 00000008 0202A5F1 00000208 +@00001D96 0040A302 00000800 8828CC10 00000008 0202A511 00000200 02002850 +@00001D9D 00000008 E60027B1 00000000 04A818D0 00000004 D04C1810 00000008 +@00001DA4 0202A5F1 00001204 0408B490 00000218 010C12F0 00006901 05002810 +@00001DAB 00000200 05002850 00001400 8828C810 00000008 0202A511 00006901 +@00001DB2 0550C810 00000000 06A818D0 00000208 EDA823C0 00001B00 0654B4D0 +@00001DB9 00000300 05002850 00000218 D06CC013 00000008 0202A5F1 00000D08 +@00001DC0 ED50A250 00000008 0000A702 00000008 6501A751 00000008 EB00A761 +@00001DC7 00000008 ED1C8E31 00000008 A301A7D0 00006519 0248E0B2 00000004 +@00001DCE 00D01810 00000000 00D01810 00000008 EE0027B0 00000008 1101A741 +@00001DD5 00000000 88D01810 00000008 0202A511 00000008 EE0027E0 00000000 +@00001DDC 04A81890 00000000 04D01890 00000630 66D4A800 00000218 04D4A010 +@00001DE3 00000208 E540A3B0 00006901 0340B490 00000218 20D2BA90 0000821C +@00001DEA 70388530 00006519 024800A0 00000008 EF002790 00000008 1101A741 +@00001DF1 00000000 88D01810 00000008 0202A511 00000008 EF0027F1 00000000 +@00001DF8 20AA18D0 00000000 D0281810 00000008 0202A5F1 00000008 AD00A7E0 +@00001DFF 00000218 20D280D3 00000630 66D4A800 00000218 04D4A010 00000208 +@00001E06 EE40A340 00006901 0440B410 00000218 20D2BA50 0000811C 70408530 +@00001E0D 00008318 02D000A0 00000218 01D08053 00000630 66D4A800 00000518 +@00001E14 C0D0F830 00000000 90D01810 00000008 0202A5F1 00000008 AD00A7E0 +@00001E1B 0000D601 04002810 00000000 88D01810 00000008 0202A511 00000004 +@00001E22 70401810 00006519 02A80090 00000008 F00027D0 0000D701 04002810 +@00001E29 00000008 F00027D0 0000D801 04002810 00000008 F00027D0 0000D901 +@00001E30 04002810 0000DA01 04002810 00000000 02D01890 00000000 88D01810 +@00001E37 00000008 0202A511 00000000 20AA1890 00000000 70401810 00008218 +@00001E3E D0280030 00000008 0202A5F1 00000008 0000A702 00000008 F1002780 +@00001E45 0000DB01 04002810 00000008 F1002780 0000DC01 04002810 00000008 +@00001E4C F1002780 0000DD01 04002810 00000000 88D01810 00000008 0202A511 +@00001E53 00000008 A8012751 00000000 04A81890 00007B1D 02A8E092 00001208 +@00001E5A F2A8E381 00000008 F2002780 00000008 1101A741 00000218 02D0E092 +@00001E61 00000A04 02D0B490 00006519 0228E0B2 00000218 04D0E092 00001218 +@00001E68 04D4F8B0 00000218 04D0F8D1 00000218 01D0E052 00000218 01D0E092 +@00001E6F 00006909 F418A330 00007709 F418A300 00007009 0F18E3C1 00008A09 +@00001E76 F314A1D0 00000218 70142E31 00000000 004A1810 00000008 AD00A7E0 +@00001E7D 00000218 70148E30 00000000 00481810 00000008 AD00A7E0 00000218 +@00001E84 70144C31 00001230 00000008 00000008 AD00A7E0 00000218 7014AC31 +@00001E8B 00001308 0048A703 00000008 AD00A7E0 00000030 66D4A800 0000DE19 +@00001E92 88D02012 00000008 0202A511 00000008 2A012770 00000000 04A81890 +@00001E99 00000030 66D4A800 0000DF19 88D01F12 00000008 F400A780 00000008 +@00001EA0 2601A7D1 00000000 044818D0 00000008 2601A7D1 0000DE19 80D02012 +@00001EA7 00000000 88A01810 00000008 0202A511 00001200 05A80810 00001308 +@00001EAE F550A320 00000000 88A01810 00000008 0202A511 00001200 05A80810 +@00001EB5 00001308 F550E360 00000218 04D0E092 00000208 F54863B0 00006901 +@00001EBC 0448B490 00000008 2601A7D1 00000030 66D4A800 00000000 C0481810 +@00001EC3 0000DE01 92D0C890 00000008 0202A5F1 00000008 0000A702 00000030 +@00001ECA 66D4A800 00000218 C2D08093 00000030 66D4A800 00000218 01D01F52 +@00001ED1 0000DF01 9014C810 00000008 0202A5F1 00000008 0000A702 00000218 +@00001ED8 01D08053 00000218 02D08093 00000008 F7002741 00000000 01281890 +@00001EDF 00005C08 0028A302 00000008 AC002741 00000000 48281810 00000508 +@00001EE6 B628A3A0 00000008 F60027E0 00000008 B600A7C1 00000218 01288810 +@00001EED 00007809 F710A390 00008909 AC10A3F0 00009509 AC10A3F0 00000008 +@00001EF4 AD00A7E0 00007801 8828C810 00000008 0202A511 00000134 4CAAC902 +@00001EFB 00000218 02A88093 00000000 03D018D0 00000000 01D01890 00000008 +@00001F02 F7002741 00000000 02181890 00005C08 0028A302 00000008 AC002741 +@00001F09 00000000 48281810 00000218 01288053 00000218 023C8093 00005C08 +@00001F10 F828A3D0 00000008 AC002741 00000000 48281810 00000508 F828A3F0 +@00001F17 00000008 AC0027F1 00000000 02D01890 00000008 F800A760 00000008 +@00001F1E F8002710 00000008 B600A7C1 00000004 00D01810 00000000 02D01890 +@00001F25 00000218 02D08093 00000218 01D08053 00000000 01281890 00005C08 +@00001F2C 0028A302 00000008 AE0027F1 00000000 48281810 00000508 AC28A330 +@00001F33 00000008 F9002740 00000008 AC00A7E1 0000021C 02D08093 00000000 +@00001F3A 00000010 00000000 4AD41890 00000030 7428A800 00000008 FA00A720 +@00001F41 00000008 AC0027F1 00000000 40281810 00000008 F900A7D0 00000004 +@00001F48 02D01890 00000000 00D01810 00000218 02D08093 00000000 01281850 +@00001F4F 00000030 7428A800 00005C08 0F28E3C1 00006501 48002810 00000030 +@00001F56 7428A800 00000008 F900A7A0 00000008 AC00A7F1 00000008 FA002790 +@00001F5D 00006901 40D4C810 00000000 02D01890 00000630 54D4A800 00000218 +@00001F64 03D080D3 00006901 883CC810 00000008 0202A511 00005C08 0C3CE340 +@00001F6B 00000008 0F00A7C1 00000218 02D08093 00005C08 4D28A360 00000008 +@00001F72 AD00A7E0 00000630 78D0A800 00000008 AD00A7E0 00000008 4D00A760 +@00001F79 00000008 AD00A7E4 00000630 54D4A800 00000000 88D01810 00000008 +@00001F80 0202A511 00000134 4CAAC902 00009119 02A8E0B2 00000630 66D4A800 +@00001F87 00000218 04D0E012 00000630 66D4A800 00000008 FC408990 00001000 +@00001F8E 047CB490 00000208 E548A1B0 0000101C 70488530 00006519 02D000B0 +@00001F95 0000E009 E540A1B0 0000E101 2042C850 0000E201 0440C890 0000811C +@00001F9C 70488530 00006519 02D00090 00000630 66D4A800 00000218 04D0E012 +@00001FA3 00000630 66D4A800 00000218 02D08093 00000008 FD4089F0 00000208 +@00001FAA CD40A370 0000A709 FD40E1D0 00001000 047CB490 00001018 70488530 +@00001FB1 00000218 202A00F0 00009C01 2042C890 00006901 0140B410 00008218 +@00001FB8 70108530 0000651D 02280090 00008300 02283890 00000008 FD002720 +@00001FBF 0000A701 0440B410 00000318 0440E022 00000008 FD002720 0000A701 +@00001FC6 0440C810 00000008 FE00A771 00000000 88281810 00000008 0202A511 +@00001FCD 00001034 48AAC902 00000218 02A88093 00006901 20022850 00000030 +@00001FD4 54D4A800 00007B1D 02D0E0B2 00008100 0228C890 00000008 FE002780 +@00001FDB 00007701 20022850 00000008 FE002780 00007801 20022850 00000008 +@00001FE2 FE002780 00007001 20022850 00000008 FF002740 00007701 04002890 +@00001FE9 00006901 04002890 00000630 54D4A800 00001200 88D0C810 00000008 +@00001FF0 0202A511 00000134 4CAAC902 00000218 02A88093 00000630 66D4A800 +@00001FF7 00000218 01D0E092 00000218 03D080D3 00000208 AD18A3E0 00000008 +@00001FFE 3700A791 0000821D 0228E092 00000000 00000010 00000630 66D4A800 +@00002005 00000218 01D0E092 00000218 03D080D3 00000208 0019A370 00000008 +@0000200C 3700A7A1 0000821D 0228E092 00000000 00000010 00000008 AD0027E0 +@00002013 00000000 00D01810 00000218 02D08093 00000218 01D08053 00000000 +@0000201A 01281890 00005C08 0028A302 00000008 AC002741 00000000 48281810 +@00002021 00000508 00282302 00000000 02D01890 00000008 000127C0 00000008 +@00002028 AC00A7F1 00000218 02D08093 00000000 012818D0 00000218 01D08053 +@0000202F 00006501 01002890 00005C08 0028A302 00000008 AC002741 00000000 +@00002036 48281810 00000508 012923F0 00000000 02D01890 00000200 0118CC90 +@0000203D 00000008 01012770 00000008 AC00A7F1 00000004 02181890 00000000 +@00002044 00000010 00000030 74D0A800 00000008 AD00A7E0 00000008 4D00A760 +@0000204B 00000030 78D0A800 00000008 AD00A7E0 00000008 4D00A760 00000218 +@00002052 01D08810 00007809 4D10A360 00000008 AD00A7E0 00000218 01D08810 +@00002059 00007809 4D10E360 00000008 AD00A7E0 00000218 01D08810 00009709 +@00002060 4D10A360 00000008 AD00A7E0 00000000 01D01850 00000218 061488D0 +@00002067 00009709 AD6CE3E0 00000000 88141810 00000008 0202A511 00000430 +@0000206E 4CAAC902 00000218 06A854D0 00006909 AD6CE3E0 00000218 06A88DD0 +@00002075 0000A409 4D6CA360 00006F09 4D6CA360 00000008 AD00A7E0 00000008 +@0000207C 030127F0 00007701 04002890 00006901 04002890 00000630 54D4A800 +@00002083 00001200 88D0C810 00000008 0202A511 00001330 4CAAC902 00000218 +@0000208A 01A88810 00006909 AD10A3E0 00000008 4D00A760 00000218 02D48093 +@00002091 00005C08 F928A3A0 00000008 FA00A761 00000A00 0128C890 00000218 +@00002098 0118E092 00000008 37002791 00002218 03085DF0 00008219 4A28E092 +@0000209F 00000008 050127C0 00000000 48281810 00000218 03D08093 00000008 +@000020A6 FA002761 00000218 02D48093 00000A00 0128CC90 00000218 0118E092 +@000020AD 00000008 37002791 00002218 03085DF0 0000E319 4828E012 00008219 +@000020B4 0328E0D2 00000008 B70027C1 00000000 02381890 00000200 4828CC10 +@000020BB 00007701 5888B410 00000218 02948093 00005C08 0829A310 00000008 +@000020C2 AC00A741 00000030 5428A800 00000000 03D018D0 00007B19 0228E0B2 +@000020C9 00000008 B70027C1 00000200 4A28CC90 00000000 88D01810 00000008 +@000020D0 0202A511 00000200 4828CC10 00001030 48AAC902 00000218 04A88890 +@000020D7 0000A409 0749A3F0 00000000 48A01810 00000000 48A81810 00000008 +@000020DE 1D002701 00006901 01002890 0000A819 8228E092 00008319 D0D48033 +@000020E5 00000008 0202A5F1 00000A18 C0D05D20 00000000 90D01810 00000008 +@000020EC 0202A5F1 00007B19 0228E0B2 00000008 B70027C1 00000000 03D018D0 +@000020F3 00000200 4828CC10 00007701 5888B410 00000008 AC0027F1 00000218 +@000020FA 02948093 00007701 5888B410 00000008 050127E0 00000000 50281810 +@00002101 00000008 07012750 00000000 02A81890 00000000 00D01810 0000021C +@00002108 02D08093 00000000 00D01810 00003100 02002890 00000218 062888D0 +@0000210F 00009909 086DA3A0 0000E409 086DA390 0000AE09 006CE302 00000008 +@00002116 AC002760 00000000 88281810 00000008 0202A511 00000430 4CAAC902 +@0000211D 00000008 AC002760 00007001 88A8C810 00000008 AC002750 00000008 +@00002124 0901A751 00000008 0901A751 00000000 032818D0 00000008 B70027F0 +@0000212B 00000000 02D01890 00000218 04D0E092 00000218 06D488D0 00009909 +@00002132 0F6CE3C1 00000000 88D01810 00000008 0202A511 00000430 4CAAC902 +@00002139 00000218 06A888D0 0000A709 0F6CE3C1 00000000 02A01890 00007701 +@00002140 88A8C810 00000008 0202A511 00000208 0F48A3C1 00000218 04A8E0D2 +@00002147 00001308 0F48E1C1 00001204 0228C890 00007B19 0228E092 00001200 +@0000214E 040802E1 00001200 044C02E1 00001200 044C02E1 00001200 044C02E1 +@00002155 00001200 044C02E1 00001200 044C02E1 00001200 044C02E1 00001200 +@0000215C 044C02E1 00001200 044C02E1 00001200 044C02E1 00001200 044C02E1 +@00002163 00001200 044C02E1 00001200 044C02E1 00001200 044C02E1 00001200 +@0000216A 044C02E1 00001200 044C02E1 00001200 044C02E1 00001200 044C02E1 +@00002171 00001200 044C02E1 00001200 044C02E1 00001200 044C02E1 00001200 +@00002178 044C02E1 00001200 044C02E1 00001200 044C02E1 00001200 044C02E1 +@0000217F 00001200 044C02E1 00001200 044C02E1 00001200 044C02E1 00001200 +@00002186 044C02E1 00001200 044C02E1 00001200 044C02E1 00000008 009C4002 +@0000218D 00001200 044C02E1 00001204 044CB4D0 00000000 00000010 00000208 +@00002194 0C4961B0 00000000 204A1B50 00008100 0008B710 00001300 040849B1 +@0000219B 00000008 0F9C80C1 00001300 044809B1 00001300 044809B1 00001300 +@000021A2 044809B1 00001300 044809B1 00001300 044809B1 00001300 044809B1 +@000021A9 00001300 044809B1 00001300 044809B1 00001300 044809B1 00001300 +@000021B0 044809B1 00001300 044809B1 00001300 044809B1 00001300 044809B1 +@000021B7 00001300 044809B1 00001300 044809B1 00001300 044809B1 00001300 +@000021BE 044809B1 00001300 044809B1 00001300 044809B1 00001300 044809B1 +@000021C5 00001300 044809B1 00001300 044809B1 00001300 044809B1 00001300 +@000021CC 044809B1 00001300 044809B1 00001300 044809B1 00001300 044809B1 +@000021D3 00001300 044809B1 00001300 044809B1 00001300 044809B1 00001300 +@000021DA 044809B1 00001300 04480991 00008108 0F092200 00001300 04482891 +@000021E1 00001200 0408B490 00008100 204E3050 00008108 0008A202 00000004 +@000021E8 209E1850 00008100 0008B710 00000218 03D080D3 00000030 503CA800 +@000021EF 0000E501 02002890 0000651D 020CA0B0 00000000 00000010 0000FD00 +@000021F6 883CC810 00000008 0202A511 00000218 06A888D0 00009A09 106DE3E0 +@000021FD 00000008 10012790 0000FF00 883CC810 00000008 AC002741 00008219 +@00002204 023CE092 00000008 0F012750 00000000 032818D0 00000000 883C1810 +@0000220B 00000008 0202A511 00000430 4CAAC902 0000651D 02A85490 00000A18 +@00002212 0228A6B0 00007801 883CC810 00000008 0202A511 00000134 4CAAC902 +@00002219 00000218 02A88093 00007701 883CC810 00000008 0202A511 00000130 +@00002220 4CAAC902 00000008 0F012750 00000218 03A880D3 00000008 1101A741 +@00002227 00000134 4CAAC902 00000218 02A88093 00000000 01D01890 00000000 +@0000222E 89D018D0 00000008 0202A511 00000430 4CAAC902 00000604 88A0C810 +@00002235 00000008 0202A511 00000008 1101A741 00000218 02D08093 00000A18 +@0000223C D0A85D20 00000008 0202A5F1 00000034 40AAC901 00000000 00000010 +@00002243 00000000 02D01890 00000A04 02D0C890 00000A18 02D098B0 00000218 +@0000224A 01D08053 00000218 01D08093 00000000 88D01810 00000008 0202A421 +@00002251 00000130 4CAAC902 00000218 04A88093 00001208 AD18E3E0 00000518 +@00002258 D0A85D20 00000008 0202A5F1 00000034 40AAC901 00005D00 02002890 +@0000225F 00000008 53002701 00000000 04441810 00000004 02401890 00000000 +@00002266 00000010 00000008 24012781 00000000 01081850 00000030 34144080 +@0000226D 0000E601 01002B50 00000208 1349E180 00001200 0408B490 00000208 +@00002274 134DE1A0 00001300 0408B4D0 00000208 144DA3C0 00001308 1349E2F0 +@0000227B 00000000 064818D0 00000000 044C1890 00000000 046C18D0 00000008 +@00002282 14498050 00000008 144D8030 00009D01 0114B450 00000000 044C18E0 +@00002289 00000008 130127A0 00000000 044818A0 00000008 144D8080 00000008 +@00002290 130127A0 00000000 044C18E0 00000000 064C18D0 00001300 0448B4D0 +@00002297 00000008 130127A0 00000000 046C1890 00000000 70141810 00000218 +@0000229E 044800B0 00000008 2A01A770 00000208 154DA340 00000218 01A820D2 +@000022A5 00000218 01A80E50 00000008 13012750 00000008 2301A721 00000218 +@000022AC 03A82052 00000008 64012710 00000000 03181810 00000218 02A84052 +@000022B3 00000000 88181810 00000008 0202A511 00000218 03242092 00000218 +@000022BA 03A82052 00000E08 16356130 00000218 02A84012 00000218 03202092 +@000022C1 00000218 03242052 00000000 02241810 00000000 011C1890 00000000 +@000022C8 012818D0 00006909 1639E2B0 00006901 881CC810 00000008 0202A511 +@000022CF 00000000 04A818D0 00000218 012020D2 00000218 01200E50 00000008 +@000022D6 13012750 00000008 2301A721 00000000 03181810 00000000 021C18D0 +@000022DD 00000008 800127E1 00000200 01002850 00000000 021C1890 00007701 +@000022E4 0434C810 00000000 013018D0 00000008 1F012701 00000000 033018D0 +@000022EB 00000208 172563B0 00000000 012818D0 00000000 04301890 00005C00 +@000022F2 03002810 00005C00 030028D0 00000008 A50127E0 00000000 044018D0 +@000022F9 00000218 065045F3 00001400 066CB4D0 00001500 066CC8D0 00009D01 +@00002300 066CB4D0 00000800 026CC810 00000008 1A0027F1 00006901 0138C890 +@00002307 0000E701 C038C810 0000E819 9228E0D2 00000008 0202A5F1 00000008 +@0000230E 1F012701 00000000 032C18D0 00000218 065045F3 00001400 066CB4D0 +@00002315 00001500 066CC8D0 00001B08 1821A1C0 00000000 026C1810 00000000 +@0000231C 02301890 00000000 04381810 00000000 03381850 00000D00 8830C810 +@00002323 00000008 0202A511 00000000 04A818D0 00000D00 882CC810 00000008 +@0000232A 0202A511 00000208 194D63F0 00006901 0334B450 00000208 1DA9E380 +@00002331 00000008 180127F0 00000000 03341890 00000D00 8830C810 00000008 +@00002338 0202A511 00000000 04A818D0 00000D00 882CC810 00000008 0202A511 +@0000233F 00006901 0334B450 00001308 1DA9E280 00001308 1DA9A150 00000208 +@00002346 1935E290 00000A08 1A2D2360 00006901 0440C8D0 00000000 032C1810 +@0000234D 00005C00 020028D0 00000218 0430E092 00005C00 030028D0 00000008 +@00002354 A50127E1 00005C00 03002810 00000000 04201890 00000008 0C012781 +@0000235B 00009D01 040028D0 00000208 1C492350 00000000 029C1850 00000000 +@00002362 03401850 00000000 01281890 00000000 02281810 00006901 0448C810 +@00002369 00006901 0648B4D0 00001018 046C8530 0000E901 060028D0 00001200 +@00002370 066CB4D0 00001218 036C85F0 00000900 0134B410 00000400 8820C810 +@00002377 00000008 0202A511 00000000 703C1810 00000218 04A800F0 00000008 +@0000237E 8F012711 00006901 0110B410 00000000 88281810 00000008 0202A511 +@00002385 00000218 02A82012 00000000 012018D0 00000008 A30127F0 00000000 +@0000238C 02201850 00000208 1B25A3F0 00000000 01401850 00000900 0114B490 +@00002393 00000600 8828C810 00000008 0202A511 00000500 9028C810 00000008 +@0000239A 0202A5F1 00006901 0114B450 00006909 1C196280 00006901 0118B490 +@000023A1 00000200 C0002810 00000500 9028C810 00000008 0202A5F1 00006909 +@000023A8 1D156200 00006901 0114B450 00000008 1B01A7F0 00000000 063018D0 +@000023AF 00000000 032C1810 00000000 026C18D0 00006901 01002810 00000200 +@000023B6 010028D0 00000000 032C18D0 00000200 02002850 00000400 8830C810 +@000023BD 00000008 0202A511 00000700 04A8C8D0 00000400 882CC810 00000008 +@000023C4 0202A511 00001300 04A8B4D0 00000218 014C01D0 00000008 20012731 +@000023CB 00000218 044CC0D3 00000E08 1D1121C0 00006901 0110C810 00000008 +@000023D2 20012731 00000200 040028D0 00000E08 1825E2E0 00000200 C0002810 +@000023D9 00000900 903CC810 00000008 0202A5F1 00000E08 1E2521A0 00006901 +@000023E0 0224C850 00000008 1801A7E0 00000200 02002850 00000300 05002810 +@000023E7 00006901 03002850 00000D00 881CC810 00000008 0202A511 00000008 +@000023EE 20012731 00000000 04A818D0 00000E08 1F352130 00006901 0334C850 +@000023F5 00000008 20012731 00000200 040028D0 00000E08 0024E202 00000208 +@000023FC 0024A302 00000200 C0002810 00000900 903CC810 00000008 0202A5F1 +@00002403 00000E08 1F2521E0 00006901 0224C850 00000008 0000A702 00000208 +@0000240A 2125A310 00000208 2055A3C0 00000000 70141810 00001218 C04C0030 +@00002411 00000900 903CC810 00000008 0202A5F1 00000000 70181810 0000021C +@00002418 044C0090 00006901 0224C850 00000000 C0481810 00000900 903CC810 +@0000241F 00000008 0202A5F1 00000004 044C1890 00006901 0224C850 00000208 +@00002426 004C2302 00006901 0550C810 00006901 02002850 00000300 05002850 +@0000242D 00000218 044CC1F3 00000218 044CDFD3 00000008 214D4060 00006901 +@00002434 0554C850 00000000 044C1890 0000E901 060028D0 00001500 066CB4D0 +@0000243B 00006901 016CC850 00007701 016CC890 00000618 016C85B0 00006905 +@00002442 0654B4D0 00000518 016C8570 00000008 24012781 00000000 01081850 +@00002449 00000030 34144040 00000008 0C01A781 0000651D 0248E0B2 00000000 +@00002450 00000010 0000EA09 004C6302 00000000 021C1890 00000218 04A82092 +@00002457 00006909 0048E302 00006901 8818C810 00000008 0202A511 0000EB0D +@0000245E 00A8E302 00006501 02002890 00000208 244DA360 00000218 01A820D2 +@00002465 00000218 01A80E50 00000208 234D6150 00000000 04000090 00001300 +@0000246C 0408B4D0 00000700 8818C810 00000008 0202A511 00000000 20020050 +@00002473 00000218 06481FF0 00001B00 00A8CB10 00000008 236D01E0 00000218 +@0000247A 0448DF93 00000008 239DC1E0 00006901 0448C890 00000008 0C0127C1 +@00002481 00001300 044849B1 00006909 231D6350 00006901 011CB4D0 00000208 +@00002488 00142302 00006519 0248E0B2 00001204 0408B490 00006519 0248E0B2 +@0000248F 00000004 02181890 00000000 00000010 00000000 02D01890 00000218 +@00002496 042898A0 00006509 25496390 00000000 01D018D0 00000218 78280910 +@0000249D 00000A18 0408F8E0 00000218 041C98A0 00006509 2549E340 0000021C +@000024A4 781C0910 00000718 0408F8A0 0000021C 781C0910 00000718 0408F8E0 +@000024AB 00007701 01002850 00000000 8B1C1850 00000008 2601A751 00000004 +@000024B2 013418D0 00000000 01341890 00006901 01002850 00000000 8B281850 +@000024B9 00000008 2601A751 00000000 02341890 00000218 041C98A0 00006509 +@000024C0 25492320 00000000 01281890 00007801 01002850 00000000 8B1C1850 +@000024C7 00000008 2601A751 00000004 013418D0 00000000 00000010 00000218 +@000024CE 063488D0 00007C09 0F6CE3C1 00000008 0202A511 00000430 4CAAC902 +@000024D5 00000000 03A01850 00000218 06A893E0 0000EC09 006CA302 00000008 +@000024DC 0F00A7C1 00000218 06D488D0 00009A09 2A6DA320 00000000 8BD01850 +@000024E3 00000008 2601A751 00000218 03A82052 00000218 03A80E90 00006901 +@000024EA 88A0C810 00000008 0202A511 00007709 273521A0 00000000 04A81890 +@000024F1 00006901 88A0C810 00000008 0202A511 00001218 04A81FB0 0000020C +@000024F8 0038A302 00001200 0408B490 00000008 4900A7E1 00000008 0A012751 +@000024FF 00000000 004C1B10 0000651D 029CE890 00000A18 024CE8B1 00000008 +@00002506 4900A7E1 00001304 0448C890 00006519 0248E0B2 00000008 4900A7E1 +@0000250D 00001304 0448B490 00006519 0248E0B2 00000008 4900A7E1 00000008 +@00002514 0A012751 00000000 004C1B10 0000651D 029CE0B2 00000000 00000010 +@0000251B 00000008 2901A711 0000651D 029CE0B2 00000000 00000010 00000008 +@00002522 22012750 00000008 2901A711 00000008 4900A7E1 00000000 01481850 +@00002529 00000630 66D4A800 00000000 02D01890 00000218 78280910 00000A18 +@00002530 0408F8A0 00000518 0648F8F0 00001218 0148F852 00000208 2949E1E0 +@00002537 00000208 296D63D0 00001B00 0608B4D0 00006901 0114B450 00000000 +@0000253E 01146050 00000000 204A1850 00000000 006C1B10 00000008 0C0127C0 +@00002545 00001300 041449B1 00000000 04D01890 0000021C 78480910 00001218 +@0000254C 0408F8A0 0000021C 78280910 00000A18 0408F8E0 0000EA09 2A49A1F0 +@00002553 0000EB09 2A49E1F0 00000030 34484900 00006519 0248E0B2 00000130 +@0000255A 34484900 0000ED19 4A48E0B2 00000208 2A81A3F0 0000EE01 68002810 +@00002561 00000000 010818D0 00000208 2B4962E0 00000000 040818D0 00000008 +@00002568 2B0127D0 00001200 0408B490 00007E1D 4828A033 00000000 00000010 +@0000256F 0000EE01 68002810 00000208 2B4D61E0 00000000 010818D0 00001200 +@00002576 0408B490 00000208 2B4963D0 00001300 0408B0D0 00006901 044CC8D0 +@0000257D 00000218 010C12E0 00000208 2C4D6320 00007701 03002890 00000008 +@00002584 2C498120 00006901 03002890 00000008 630127B1 00006901 0138C890 +@0000258B 00006901 8028C810 00000218 D048C013 00000008 0202A5F1 00006909 +@00002592 0038A302 00000218 064801D0 00006901 80A0C810 00001B18 D04CC133 +@00002599 00000008 0202A5F1 00000008 0000A702 00000218 03D4CF50 00000208 +@000025A0 58352312 00000218 04D00EB2 0000EF01 0334C850 0000000C 00488202 +@000025A7 00001218 040C1FB0 00000218 0328CF90 00000208 00382302 00000218 +@000025AE 04280EF2 0000EF01 0338C890 0000000C 00289002 00001318 040C1FF0 +@000025B5 00000008 2D49D3F0 00000218 02488091 00000208 2D29E3D0 00000008 +@000025BC 2D49D2F0 00000008 59012731 0000F001 0448C8A0 00001218 0408A0B1 +@000025C3 00000208 00482302 0000F119 4A481292 0000EF01 0334B450 00000208 +@000025CA 2E35A260 00000A1C 4234D1B0 0000F209 2E35E2A1 00004618 06085DF0 +@000025D1 00005C08 0F6CA3C1 0000F105 42002890 00000000 00000010 00000008 +@000025D8 0F00A7C1 00000008 2D01A791 00000004 00D01810 00000000 00000010 +@000025DF 00000008 2F01A711 00000008 0000A702 0000F301 68002810 00000208 +@000025E6 2F35A2C1 0000F409 0F34E1C1 00000008 1A0027F1 00007701 01002890 +@000025ED 00006901 8028C810 0000F519 D048E012 00000008 0202A5F1 0000F619 +@000025F4 0648E8D0 0000E819 8228E092 00001B1C D0344831 00000008 0202A5F1 +@000025FB 00000208 0048A302 00004618 06085DF0 00005C08 0F6CA3C1 00000204 +@00002602 03002850 00000200 04002890 00000218 06D488D0 00007C09 306DE3E0 +@00002609 00000000 88D01810 00000008 0202A511 00000430 4CAAC902 00000218 +@00002610 06A88DD0 00007009 316DE340 00000218 03A85851 00000218 04A8F8B0 +@00002617 00006901 88A0C810 00000008 0202A511 00000004 00000010 00001218 +@0000261E 04A8E0A2 0000D409 2C6DA3D0 00009A09 0F6CE3C1 00000008 2A012721 +@00002625 0000F701 03002850 00000008 57012790 00000000 00081B10 00007C09 +@0000262C 0F6CE3B1 00000000 48301810 00000000 481C1810 00000000 48401810 +@00002633 00000000 03A01810 00000218 01A8E0D2 00000218 031C2052 00000008 +@0000263A 6801A741 00000000 04D01810 00000004 01D018D0 00000000 03D01810 +@00002641 00000030 2AD4A800 00000200 01002850 00000208 2A49E190 00000008 +@00002648 2A012790 00001200 0408B490 00000030 2AD4A800 00006901 01002850 +@0000264F 00000008 2A012790 00001200 0408B490 00000030 2AD4A800 00007701 +@00002656 01002850 00005D00 02002890 0000020C 0048A302 00005C00 02002890 +@0000265D 00000030 2AD4A800 00007801 01002850 00005D00 02002890 0000020C +@00002664 0048E202 00005C00 02002890 00000030 2AD4A800 00007001 01002850 +@0000266B 00005D00 02002890 0000020C 0048A102 00005C00 02002890 00000030 +@00002672 2AD4A800 00008901 01002850 00005D00 02002890 0000000C 00488002 +@00002679 00005C00 02002890 00000030 2AD4A800 00009E01 01002850 00005D00 +@00002680 02002890 0000000C 0048C002 00005C00 02002890 00000030 2AD4A800 +@00002687 00009A01 01002850 00000008 2A012790 00006901 0448C890 00000030 +@0000268E 2AD4A800 00007C01 01002850 00000008 2A012790 00006901 0448B490 +@00002695 00000030 2AD4A800 00009F01 01002850 00000008 2A01A790 00000030 +@0000269C 2AD4A800 00009C01 01002850 0000F701 03002850 00000008 57012791 +@000026A3 00000000 00081B10 00000008 2E01A7E0 00000030 2AD4A800 0000A401 +@000026AA 01002850 0000F701 03002850 00000008 57012791 00000000 00081B10 +@000026B1 00000008 2E01A7B0 00000030 2AD4A800 00009601 01002850 00000208 +@000026B8 354961D0 00006501 02002890 00001200 0408B490 00000208 0048A302 +@000026BF 00006901 0228C890 00000008 350127D0 00000218 0448DF93 00000008 +@000026C6 2F01A701 00000008 0F00A7C1 00000000 02D01890 0000F801 68002810 +@000026CD 00000030 28D4A800 00000200 01002850 00000030 2628A800 00000008 +@000026D4 2A0127B0 00001300 0448C890 00000000 02D01890 0000F801 68002810 +@000026DB 00000030 28D4A800 00006901 01002850 00000030 2628A800 00000008 +@000026E2 2A0127B0 00001300 0448B490 00000000 02D01890 0000F801 68002810 +@000026E9 00000030 28D4A800 00007701 01002850 00000030 2628A800 00000008 +@000026F0 0A012751 00000000 004C1B10 00001318 069C17E1 00000208 2A6D23B0 +@000026F7 00001B18 049CE092 00000308 2A6DA3B0 00000008 2B012760 00000000 +@000026FE 049C1890 00000000 02D01890 0000F801 68002810 00000030 28D4A800 +@00002705 00007801 01002850 00000030 2628A800 00000008 0C01A781 00000008 +@0000270C 2A0127B0 00000000 049C1890 00000000 02D01890 00000030 28D4A800 +@00002713 00007001 01002850 00000030 2628A800 00005C00 02002890 0000130C +@0000271A 0048E302 00005D00 02002890 00000000 02D01890 00000030 28D4A800 +@00002721 00009A01 01002850 00000030 2628A800 00005C00 02002890 0000130C +@00002728 0048A202 00005D00 02002890 00000000 02D01890 00000030 28D4A800 +@0000272F 00007C01 01002850 00000030 2628A800 00005C00 02002890 0000130C +@00002736 0048E102 00005D00 02002890 00000000 02D01890 00000030 28D4A800 +@0000273D 00009C01 01002850 00000030 2628A800 00001308 2A49E190 00000008 +@00002744 2A012790 00001300 04002890 00000000 02D01890 00000030 28D4A800 +@0000274B 00009F01 01002850 00000030 2628A800 00001308 2A49A290 00000008 +@00002752 2A012790 00001300 04002890 00000008 4900A7E1 00000218 0448E090 +@00002759 00000218 044CE0D0 00001308 4D48A360 00004518 02085DB0 00005C08 +@00002760 AD28E3E0 00001300 064830D0 00008A09 006CE302 0000F909 0048A102 +@00002767 0000FA09 0048E202 0000FB09 4D48A260 0000FC09 4D48E160 00000008 +@0000276E 0000A702 00000630 66D4A800 00000218 01D080D3 00000630 66D4A800 +@00002775 00000218 03D48053 00000708 3D35E120 00000008 D400A7C1 00000218 +@0000277C 04D0E090 0000FA09 3C49E2C0 0000F909 3C49A1C0 00000008 3A0127C1 +@00002783 00000218 0428E0D0 00005D08 3D292300 00006901 0334C850 00006901 +@0000278A 0330C810 00000708 AD34E1E0 00000F08 0F30E1C1 00000030 6418AD00 +@00002791 00000000 00000010 00000008 3B01A7F0 00000708 AD34E1E0 00000F08 +@00002798 0F30E1C1 00000030 6418AD00 00006901 0334C850 00000218 0428E0D0 +@0000279F 00001308 3C496390 00006901 0330C810 00000004 00000010 00006901 +@000027A6 0234B490 00007805 6088B410 00005C00 02002890 00000218 03D08093 +@000027AD 00000030 66D4A800 00000008 C2002721 00000218 03D0E012 00006909 +@000027B4 0F20E3C1 00000C00 0128B4D0 00000008 C2189091 00000000 03301850 +@000027BB 00000000 04241810 00000000 01181810 00000030 66D4A800 00000008 +@000027C2 C2002721 00000218 03D0E012 00006909 0F20E3C1 00000C00 0228B490 +@000027C9 00000008 C2189091 00005C08 3F39A3A0 00000030 6638A800 00000708 +@000027D0 AD38E2E0 00000A08 AD38E2E0 00000218 0138E0D2 00000208 4D1CA360 +@000027D7 00000700 0130C8D0 00000030 6418AD00 00000000 50321890 00000000 +@000027DE 502618D0 00000218 0428E090 00000000 03341810 00000030 6410AD00 +@000027E5 00000000 02401850 00000008 3A0127C1 00000218 0428E0D0 00005C08 +@000027EC 0028A302 00004301 02002850 00004201 0308CC10 00000708 3E3121B0 +@000027F3 00006901 0334C850 00000008 0000A702 00000708 3E292390 00000218 +@000027FA 011CE0D2 00000008 AD00A7E0 00000008 2B012740 00000008 4001A701 +@00002801 00000000 02D01890 0000FD01 030028D0 00000030 28D4A800 0000A401 +@00002808 01002850 00000030 2628A800 00001204 044C0890 00006519 0248E092 +@0000280F 00000008 2B012740 00000008 4001A791 00000000 02D01890 0000FE01 +@00002816 030028D0 00000030 28D4A800 0000A401 01002850 00000030 2628A800 +@0000281D 00001204 044C3890 00006519 0248E092 00000008 2B012740 00000008 +@00002824 4101A721 00000000 02D01890 0000FF01 030028D0 00000030 28D4A800 +@0000282B 0000A401 01002850 00000030 2628A800 00001204 044C3090 00006519 +@00002832 0248E092 00000000 02D01890 00000000 01D01850 00000030 66D4A800 +@00002839 00000000 01D01890 00000000 48141810 00000218 031863F0 00000030 +@00002840 28D4A800 0000A401 01002850 00000030 2628A800 00000000 703C1810 +@00002847 00001204 044C0090 00006519 0248E092 00000218 061C0ED0 00000000 +@0000284E 713C1850 00000800 011C00D0 00000218 02200E10 00000E08 43356120 +@00002855 00000818 026C0130 00000030 2D2040C0 00007801 02203010 00000000 +@0000285C 02301890 00000000 063818D0 00000000 03341890 00000000 03181810 +@00002863 00000008 43012740 00000000 036C1850 00000030 2D145CC0 00000002 +@0000286A 01143050 00000000 022818D0 00000008 630127B1 00007701 0134C890 +@00002871 00006901 01002890 00000000 500A1810 00000000 500A1850 00000600 +@00002878 882CC810 00000008 0202A511 00000008 44214000 00004001 04A8C890 +@0000287F 00001200 0408B490 00000218 504A0110 00000600 8830C810 00000008 +@00002886 0202A511 00000008 44215F60 00004101 04A8C8D0 00001300 0408B4D0 +@0000288D 00000218 504E0150 00000000 70141810 00001200 C04C0010 00000218 +@00002894 C0A8C013 00000600 9028C810 00000008 0202A5F1 00000E08 431921A0 +@0000289B 00006901 0118C890 00000D08 451962C0 00004001 0408B490 00000600 +@000028A2 8830C810 00000008 0202A511 00000008 45215F50 00004101 04A8C8D0 +@000028A9 00001300 0408B4D0 00000218 504E0150 00000000 70141810 00001200 +@000028B0 C04C0010 00000218 C0A8C013 00000600 9028C810 00000008 0202A5F1 +@000028B7 00000D08 441921F0 00006901 0118C890 00004101 0408B4D0 00000000 +@000028BE 70141810 00001200 C04C0010 00000218 C0A8C013 00000600 9028C810 +@000028C5 00000008 0202A5F1 00006901 0334C850 00000008 ED1C8E31 00000008 +@000028CC A301A7D0 00000030 2D3C5CC0 00000002 033C30D0 00000000 013C1850 +@000028D3 00000218 021C0E10 00000218 02200130 00000218 784C0110 00000000 +@000028DA 04081890 00000000 70141810 00001200 011C00D0 00000008 630127B1 +@000028E1 00007701 0134C890 00000000 500A1850 00006901 8830C810 00000008 +@000028E8 0202A511 00000008 47215F70 00004101 06A8C8D0 00001B00 0608B4D0 +@000028EF 00000218 506E0150 00000000 70141810 00001300 C06C0010 00000218 +@000028F6 C0A8C013 00006901 9028C810 00000008 0202A5F1 00007709 443561F0 +@000028FD 00007701 01002890 00000008 4501A7C0 00000030 66D4A800 00000008 +@00002904 2A01A721 00000000 044818D0 00000030 2AD4A800 00009501 01002850 +@0000290B 00000218 78480110 00000000 05081810 00000208 484DE2E0 00008A01 +@00002912 044CC8D0 00000208 484DE2A0 00006901 040028D0 00006901 054CB450 +@00002919 00001318 70548530 00001418 04480090 00000008 2A01A790 00006519 +@00002920 4D48E052 00000218 045017A1 00009901 04002810 0000A409 E94C2190 +@00002927 00000000 024C1850 00000000 04081890 00000208 EA5061D0 00000218 +@0000292E 015012F0 0000010A 495563A0 00001500 0508B450 00000218 050C1670 +@00002935 00006901 0224C850 00000008 EA0027E1 00006519 4054E012 00000008 +@0000293C A301A7D0 00000208 644DA330 00000000 C44C1890 00000008 0C012781 +@00002943 00009D01 040028D0 00000208 4BA9A140 00000208 4A49E350 00009D01 +@0000294A 04002890 00006901 009CB710 00000000 029C18D0 00000200 03002890 +@00002951 00000D00 019CC890 00000008 630127B1 00007701 0118C890 00000000 +@00002958 022C1850 00000008 8E0127B1 00001200 0478B090 00000E00 0134C810 +@0000295F 00000E00 0130B490 00000218 0118E092 00000000 02281810 00000008 +@00002966 8F012711 00000200 040028D0 00000008 A301A7D0 00000300 020028D0 +@0000296D 00000000 039C1890 00009D01 0448C890 00006901 0038B710 00000D00 +@00002974 0638C8D0 00000208 4A6DE270 00000218 781C0E10 00000000 04081890 +@0000297B 00000008 2A01A790 00001304 0334C850 00000000 00000010 00000008 +@00002982 2C01A7D1 00000030 2C148000 00000202 68002810 00000000 8BD01850 +@00002989 00000008 0202A511 00000430 4CAAC902 00000000 03A01810 00000218 +@00002990 06A888D0 00009F09 0F6CE3B1 00000030 22A8AD00 00000218 01A840D2 +@00002997 00006901 8830C810 00000008 0202A511 00000218 031C5851 00000218 +@0000299E 041CF8B0 00001218 04A8E0A2 00000030 2C148000 00000302 68002810 +@000029A5 00000008 1300A7F1 00000730 340000C0 00000000 48A81810 0000ED19 +@000029AC 4814E012 00008319 48348013 00000230 2D0000B0 00000008 0048C102 +@000029B3 00000008 5901A7D0 00000218 040C1EF0 00000008 560127A0 00000402 +@000029BA 0308CC90 00000218 040C1FF0 00000008 560127A0 00000402 03002890 +@000029C1 00000218 78480110 00006519 0208E092 0000040A 0034A202 0000050A +@000029C8 4E35E170 00000402 0134B050 00007701 0114C890 0000061C 70148530 +@000029CF 00000A18 02480090 00000218 012812F0 00000008 4D01A771 00000000 +@000029D6 05481850 00000602 0434B490 00000008 0C012781 00009D01 040028D0 +@000029DD 00000000 045418D0 00000000 039C1850 00000008 630127B1 00006901 +@000029E4 019CC890 00007701 0534B410 00000208 4F51A280 00000000 C0081810 +@000029EB 00001400 9028C810 00000008 0202A5F1 00006909 4F516240 00006901 +@000029F2 0550B410 00007701 0548C810 00001418 70488530 00000218 C04C0010 +@000029F9 00000D00 9028C810 00000008 0202A5F1 00007709 A335A1D0 00001400 +@00002A00 0578B010 00006901 0448C890 00001218 70508530 00000218 C04C0030 +@00002A07 00006901 90A0B410 00000008 0202A5F1 00000008 A301A7D0 00000000 +@00002A0E 03D41810 00000000 03301890 00000130 2428A800 00000008 2C01A7D1 +@00002A15 00000030 21148000 00000702 68002810 00000030 2D806000 00000008 +@00002A1C 2A01A751 00000008 2D012731 0000F701 03002850 00000008 57012791 +@00002A23 00000000 00081B10 00000030 21148000 00000702 68002810 00000000 +@00002A2A 064C18D0 00000000 044818D0 00000000 046C1890 00000000 03341890 +@00002A31 00000000 00081B10 00000008 57012791 0000F701 03002850 00000030 +@00002A38 20148000 00000702 68002810 00000000 064C18D0 00000000 044818D0 +@00002A3F 00000000 046C1890 00000000 03341890 00000000 00081B10 00000008 +@00002A46 57012791 0000F701 03002850 00000030 20148000 00000802 68002810 +@00002A4D 00000008 560127A0 00000008 5901A7D1 00000008 5A0127D0 00000008 +@00002A54 5201A791 00000000 063418D0 00000000 03381850 00000000 036C1890 +@00002A5B 00000000 064818D0 00000004 044C1890 00000000 046C18D0 00000000 +@00002A62 8BD01890 00000008 0202A511 00000430 4CAAC902 00000000 03A01810 +@00002A69 00000218 06A888D0 00009F09 0F6CE3B1 00000030 1EA8AD00 00000218 +@00002A70 01A840D2 00000008 1300A7F1 00000830 2D0000A0 00000000 48A81810 +@00002A77 0000ED19 4814E012 00007E19 48388013 00008319 48288013 00000330 +@00002A7E 1D148000 0000EE01 68002810 00006901 8830C810 00000008 0202A511 +@00002A85 00000218 031C5851 00000218 041CF8B0 00000230 2428A800 00001218 +@00002A8C 04A8E0A2 00000030 21148000 00000802 68002810 00000000 88281810 +@00002A93 00000000 028018D0 00000008 0202A511 00000430 4CAAC902 00000000 +@00002A9A 02A01890 00000218 06A888D0 00009F09 0F6CE3B1 00000030 1AA8AD00 +@00002AA1 00000218 02A84012 00000208 552DE350 00000000 48281810 00000008 +@00002AA8 2A01A791 00000000 03281890 00000000 02D01890 00000008 1300A7F1 +@00002AAF 00000830 2D000090 00000000 48A81810 0000ED19 4814E012 00007E19 +@00002AB6 48388013 00008319 48288013 00000330 1D148000 0000EE01 68002810 +@00002ABD 00006901 8828C810 00000008 0202A511 00000218 03205891 00000218 +@00002AC4 0420F8F0 00000030 1C2C6080 00001318 04A8E0E2 00000000 03481810 +@00002ACB 0000F701 03002850 00000008 57012791 00000000 00081B10 00000030 +@00002AD2 21148000 00000802 68002810 00000008 5A01A751 00000E08 57352380 +@00002AD9 00000000 00081B10 00000E08 59352181 00000E00 0634B0D0 00009D09 +@00002AE0 586DE130 00000218 784C0110 00000000 200A1850 00001B00 0378B090 +@00002AE7 00000E18 706C8530 00000218 204E00B0 00008200 00002B10 00006901 +@00002AEE 0638B4D0 00000E18 706C8530 00008118 044C00D0 00001300 0448CAA0 +@00002AF5 00000030 19488400 00006901 0334C850 00000000 044819B0 00000000 +@00002AFC 044819B0 00000000 044819B0 00009F01 009C1310 00000208 57496390 +@00002B03 00007801 0334B450 00000204 03002850 00000200 04002890 00009D09 +@00002B0A 006CE202 00008309 004CE302 00000008 57012780 00000000 040C18D0 +@00002B11 00000000 044819B0 00000000 044819B0 00007801 009C1310 00000008 +@00002B18 580127F0 00007701 0334B450 00000000 044819B0 00006901 009C1310 +@00002B1F 00006901 0334B450 00000208 009CE102 00008309 599DE320 00000008 +@00002B26 0048C002 00006901 0448CAA0 00000030 1C486300 00006901 0334C850 +@00002B2D 00000000 044819B0 00006905 0334B450 00000000 044818B0 00000000 +@00002B34 03381850 00001B00 060CB0D0 00000000 054C1850 00000004 044818D0 +@00002B3B 00000000 04541890 00000208 5A496120 00001200 0408B490 00000008 +@00002B42 0048C102 0000021C 040C1EB0 00006901 0334C850 00007E09 0048E302 +@00002B49 0000021C 040C1FB0 00006901 0334B450 00000208 5A4D61A0 00001300 +@00002B50 0408B4D0 00000008 004CC102 0000021C 040C1EF0 00006901 0338C890 +@00002B57 00007E09 004CE302 0000021C 040C1FF0 00006901 0338B490 00000208 +@00002B5E 0F4CA3C1 00000208 0048A302 00000208 5E4DA100 00000208 5E49A140 +@00002B65 00000402 0334C850 00001308 5B492170 00000E00 0334B450 00001308 +@00002B6C 5E49A370 00000218 0448DF93 00006901 0334C850 00000000 00081B10 +@00002B73 00001300 044849B1 00001300 044809B1 00001300 044809B1 00001300 +@00002B7A 044809B1 00001300 044809B1 00001300 044809B1 00001300 044809B1 +@00002B81 00001300 044809B1 00001300 044809B1 00001300 044809B1 00001300 +@00002B88 044809B1 00001300 044809B1 00001300 044809B1 00001300 044809B1 +@00002B8F 00001300 044809B1 00001300 044809B1 00001300 044809B1 00001300 +@00002B96 044809B1 00001300 044809B1 00001300 044809B1 00001300 044809B1 +@00002B9D 00001300 044809B1 00001300 044809B1 00001300 044809B1 00001300 +@00002BA4 044809B1 00001300 044809B1 00001300 044809B1 00001300 044809B1 +@00002BAB 00001300 044809B1 00001300 044809B1 00001300 044809B1 00001300 +@00002BB2 04480991 00001300 064828D1 00000218 204EDF53 00008108 006C2102 +@00002BB9 00000000 049C1890 00008108 5D6DE2E0 00000008 0048C002 00000008 +@00002BC0 59012730 00006901 0448CAA0 00000208 5E496250 00000008 5A01A751 +@00002BC7 00000008 5B012710 00000008 5901A7D1 00000008 5901A7D1 00000008 +@00002BCE 590127D0 00000008 5B01A711 0000021C 040C1EB0 00006901 0334C850 +@00002BD5 00000008 0A012751 00000000 004C1B10 00000208 584DA310 00000000 +@00002BDC 044C1890 00000402 0334B450 00000030 18486380 00000E00 0334CC50 +@00002BE3 00000E08 00346302 00005C00 02002890 0000130C 0048E302 00005D00 +@00002BEA 02002890 00000008 5F4981B0 00000008 4D4C8160 00000E08 4D34E260 +@00002BF1 00000E08 00342102 00005C00 02002890 0000130C 0048A202 00005D00 +@00002BF8 02002890 00000008 AD4CC1E0 00000E08 4D34A160 00000E08 00346202 +@00002BFF 00005C00 02002890 0000130C 0048A202 00005D00 02002890 00000008 +@00002C06 60498180 00000008 AD4C81E0 00000E08 4D34A160 00000E08 00346202 +@00002C0D 00005C00 02002890 0000130C 0048E102 00005D00 02002890 00000008 +@00002C14 4D4CC160 00000E08 4D34E260 00000E08 00342102 00005C00 02002890 +@00002C1B 0000130C 0048E102 00005D00 02002890 00000008 6001A711 00005C08 +@00002C22 6129A320 00000000 044C1890 00000000 03381850 00000218 06B040D2 +@00002C29 0000070A 2E6DA3B0 00000008 2E01A7E0 00000008 5F01A741 00005C08 +@00002C30 6129A320 00000000 044C1890 00000008 61012720 00000000 03381850 +@00002C37 00000008 4900A7E1 00000218 0448E7B2 00001218 044CCF90 00000208 +@00002C3E 6249A330 00000218 064C0FF2 00000000 006C1B10 00000902 03002850 +@00002C45 00000008 2E0127E0 00000008 5701A791 00000218 044CE7B2 00000200 +@00002C4C 00002B10 00000008 62012710 00000A02 03002850 00000330 2428A800 +@00002C53 00000218 031C2052 00000008 6801A741 00000030 21148000 00000802 +@00002C5A 68002810 00000030 182C6000 00000218 03202092 00000000 063418D0 +@00002C61 00000000 03381850 00000000 036C1890 00000000 48301810 00000000 +@00002C68 03281810 00000000 012018D0 00000008 68012741 00000000 044818D0 +@00002C6F 00000000 02D01890 00000030 20148000 00000802 68002810 00000030 +@00002C76 17148000 00000218 031C2052 00000008 1A00A7F1 00006901 0618B4D0 +@00002C7D 00001B18 011C12E0 0000E701 C01CC810 0000E81D 9228E092 00000008 +@00002C84 0202A5F1 00000008 64A90EC0 00000000 013418D0 00000004 02301890 +@00002C8B 00000000 00000010 00006909 641DE3B0 00006901 8830C810 00000008 +@00002C92 0202A511 0000EB09 64A9E3B0 00000106 02002890 00000000 00000010 +@00002C99 0000C301 011C30D0 00000008 630127B1 00006901 0134C890 00000D00 +@00002CA0 8830C810 00000008 0202A511 00000D00 9028C810 00000008 0202A5F1 +@00002CA7 00006909 643562E0 00006901 0334B450 00000008 0000A702 00000218 +@00002CAE 04D4A010 00000218 02D41A52 00000900 0440C890 00009D01 0448C890 +@00002CB5 00000008 0C012781 00009D01 040028D0 00000000 019C1890 00000608 +@00002CBC 6435E1C0 00000008 630127B1 00006901 0118C890 00006901 0118B490 +@00002CC3 00000208 643563E1 00000D00 0118B410 00000200 C0002810 00000600 +@00002CCA 9028C810 00000008 0202A5F1 00006901 0110B410 00000208 66116230 +@00002CD1 00006901 0118B490 00000008 0000A702 00005D00 02002890 0000000C +@00002CD8 001CCE02 00005C00 02002890 00005D00 02002890 0000000C 001C8E02 +@00002CDF 00005C00 02002890 00000004 02301890 00000000 00000010 00000D00 +@00002CE6 8830C810 00000008 0202A511 00006519 023425B2 00000D00 0228B490 +@00002CED 00009D01 0228B490 00000008 350127D0 00000000 04A81890 00000008 +@00002CF4 2E0127E0 00000008 6801A741 00000008 2E0127B0 00000008 6801A741 +@00002CFB 00006901 8830C810 00000008 0202A511 00000008 AD0027E0 00000008 +@00002D02 4DA88060 00006901 8830C810 00000008 0202A511 00000008 AD0027E0 +@00002D09 00000008 4DA8C060 00006909 683523A0 00000200 05002810 00006901 +@00002D10 0634B4D0 00001B00 8830C810 00000008 0202A511 00000000 05A81810 +@00002D17 00000D00 8830C810 00000008 0202A511 00000200 02002890 00000008 +@00002D1E 350127D1 00000000 4CA81890 00009D09 6A29A330 00000A00 0678B4D0 +@00002D25 00007701 056CB450 00001B18 70548530 00000218 04500090 00000000 +@00002D2C 706C1810 00000218 0550E013 00006901 0428B410 00006901 0654C8D0 +@00002D33 00001B18 70408530 00001218 04D000B0 00000A18 023445B3 00000008 +@00002D3A 6A514110 00000D00 0228B490 00006901 0448C890 00000008 6A49C110 +@00002D41 00000218 0448DF93 00006901 0228C890 00000B06 0328C850 00000008 +@00002D48 591D8ED1 00000008 690127A0 00000000 04D01890 00000030 16148000 +@00002D4F 00000000 01281890 00000808 001C6302 00005C00 02002890 00000D00 +@00002D56 8830C810 00000008 0202A511 00000000 04A81890 00000D00 8818C810 +@00002D5D 00000008 0202A511 00001208 00A8E302 00006909 6A356290 00006901 +@00002D64 0334B450 00005D04 02002890 00000000 00000010 00000D00 8830C810 +@00002D6B 00000008 0202A511 00000000 04A81890 00000D00 8818C810 00000008 +@00002D72 0202A511 00001208 00A8A102 00001208 6BA9E3E0 00006909 6B356230 +@00002D79 00006901 0334B450 00000004 02241890 00000000 00000010 00000004 +@00002D80 00000010 00000000 02141890 00000008 6C1D0E90 00005C00 02002850 +@00002D87 00000008 00200E02 00005D00 02002890 00000E08 0034E202 00000E08 +@00002D8E 6B352330 00005C00 01002850 00005C04 02002890 00000000 00000010 +@00002D95 00000008 00204E02 00005C00 02002890 00000E08 0034E202 00000E08 +@00002D9C 6B352330 00005D00 01002850 00005D04 02002890 00000000 00000010 +@00002DA3 00000008 6D1D0E90 00005C00 02002850 00000008 00200E02 00005C00 +@00002DAA 02002890 00000E08 0034E202 00000E08 6B352330 00005D00 01002850 +@00002DB1 00005D04 02002890 00000000 00000010 00000008 00204E02 00005D00 +@00002DB8 02002890 00000E08 0034E202 00000E08 6B352330 00005C00 01002850 +@00002DBF 00005C04 02002890 00000000 00000010 00000008 6E1D0E90 00000000 +@00002DC6 02301850 00000008 00200E02 00000000 02301890 00000E08 0034E202 +@00002DCD 00000E08 6B352330 00000000 01181850 00000004 02181890 00000000 +@00002DD4 00000010 00000008 00204E02 00000000 02181890 00000E08 0034E202 +@00002DDB 00000E08 6B352330 00000000 01301850 00000004 02301890 00000000 +@00002DE2 00000010 00000008 6F1D0E90 00000000 02301850 00000008 00200E02 +@00002DE9 00000000 02181890 00000E08 0034E202 00000E08 6B352330 00000000 +@00002DF0 01301850 00000004 02301890 00000000 00000010 00000008 00204E02 +@00002DF7 00000000 02301890 00000E08 0034E202 00000E08 6B352330 00000000 +@00002DFE 01181850 00000004 02181890 00000000 00000010 00000800 061C30D0 +@00002E05 00000008 736D8E20 00000D08 70396190 00000200 040028D0 00000000 +@00002E0C 063418D0 00000000 03381850 00000000 036C1890 00000000 01301890 +@00002E13 00000000 03281810 00000000 021818D0 00000008 630127B1 00007701 +@00002E1A 0138C890 00007E19 4828A033 00006901 02002810 00000800 8830C810 +@00002E21 00000008 0202A511 00001300 04A8C890 00000800 882CC810 00000008 +@00002E28 0202A511 00001200 04A8C890 00000218 C048C013 00000218 044801D0 +@00002E2F 00000800 9028C810 00000008 0202A5F1 00000D08 702121E0 00006901 +@00002E36 0220C810 00000E08 7221E240 00000800 882CC810 00000008 0202A511 +@00002E3D 00001300 04A8C890 00000218 C048C013 00000218 044801D0 00000800 +@00002E44 9028C810 00000008 0202A5F1 00000E08 712121B0 00006901 0220C810 +@00002E4B 00000208 724DE2C0 00006901 011CB4D0 0000E701 C01CC810 00000000 +@00002E52 90281810 00000008 0202A5F1 00000800 0428C890 00000008 A50127E0 +@00002E59 00006901 040028D0 00000000 C04C1810 00000800 9028C810 00000008 +@00002E60 0202A5F1 00000008 0000A702 00000800 061C30D0 00000008 706D8E20 +@00002E67 0000EE01 68002810 00000000 022818D0 00000E08 74356290 00000000 +@00002E6E 02341810 00000E08 7435A130 00000800 8830C810 00000008 0202A511 +@00002E75 00000000 03201890 00000000 04A81890 00000800 882CC810 00000008 +@00002E7C 0202A511 00001208 74A9A190 00001208 74A9E260 00006909 73216270 +@00002E83 00006901 0220B410 00006505 02002890 00000000 00000010 00000000 +@00002E8A 063418D0 00000000 02381810 00000000 036C1890 0000C301 011C30D0 +@00002E91 00000000 023018D0 00000000 03281810 00000008 630127B1 00006901 +@00002E98 0120C890 00000200 040028D0 00006901 01002890 00000600 882CC810 +@00002E9F 00000008 0202A511 00001300 04A8C890 00000600 8830C810 00000008 +@00002EA6 0202A511 00001200 04A8B490 00000218 C548C013 00000600 9028C810 +@00002EAD 00000008 0202A5F1 00000208 75512390 00000218 044801D0 00000000 +@00002EB4 02181850 00000E08 741921D0 00006901 0118C890 00000808 A419E290 +@00002EBB 00000600 8830C810 00000008 0202A511 00001300 04A8B490 00000218 +@00002EC2 C548C013 00000600 9028C810 00000008 0202A5F1 00000208 76512350 +@00002EC9 00000218 044801D0 00000000 02181850 00000808 751921C0 00006901 +@00002ED0 0118C890 00000008 A401A790 00000000 022818D0 00000E00 0434C810 +@00002ED7 00000800 011C30D0 00000008 630127B1 00006901 0140C890 00000218 +@00002EDE 041C2012 00007E19 4828A033 00006901 0138B490 00006901 0140B410 +@00002EE5 00006901 030028D0 00000200 01002850 00000200 04002890 00000200 +@00002EEC 040028D0 00000D08 773D6280 00000000 02341810 00000000 023C1810 +@00002EF3 00000800 8830C810 00000008 0202A511 00000800 023CB450 00000000 +@00002EFA 05A81810 00000900 882CCC10 00000008 0202A511 00000000 00A81B10 +@00002F01 00001400 044802A1 00001400 044802A1 00001400 044802A1 00001400 +@00002F08 044802A1 00001400 044802A1 00001400 044802A1 00001400 044802A1 +@00002F0F 00001400 044802A1 00001400 044802A1 00001400 044802A1 00001400 +@00002F16 044802A1 00001400 044802A1 00001400 044802A1 00001400 044802A1 +@00002F1D 00001400 044802A1 00001400 044802A1 00001400 044802A1 00001400 +@00002F24 044802A1 00001400 044802A1 00001400 044802A1 00001400 044802A1 +@00002F2B 00001400 044802A1 00001400 044802A1 00001400 044802A1 00001400 +@00002F32 044802A1 00001400 044802A1 00001400 044802A1 00001400 044802A1 +@00002F39 00001400 044802A1 00001400 044802A1 00001400 044802A1 00001300 +@00002F40 0448C890 00000008 7A494120 00000218 0448C0D3 00006901 0114C850 +@00002F47 00000608 7A256160 00000218 049CDF93 00006909 77216280 00006901 +@00002F4E 0220B410 00000000 C0481810 00000F00 9028C810 00000008 0202A5F1 +@00002F55 00000000 044C1890 00000000 041418D0 00000200 01002850 00000408 +@00002F5C 773D2150 00006901 033CC8D0 00000208 7B49E360 00006901 011CB4D0 +@00002F63 0000E701 C01CC810 00000000 90281810 00000008 0202A5F1 00001000 +@00002F6A 0428C890 00000008 A50127E0 00006901 040028D0 00000000 C0481810 +@00002F71 00000F00 9028C810 00000008 0202A5F1 00000008 0000A702 00000D08 +@00002F78 9239E2E0 00000218 02201220 00000800 011C30D0 00006909 7C396230 +@00002F7F 00000000 022818D0 00006901 8828C810 00000008 0202A511 00000008 +@00002F86 97012750 00000000 04A818D0 0000EE01 68002810 00000E00 0134B450 +@00002F8D 00006901 0114C850 00000008 630127B1 00006901 0114C890 00000008 +@00002F94 800127E1 00000000 01281850 00000000 04301890 00007701 0434C8D0 +@00002F9B 00000008 A50127E1 00005C00 03002810 00001000 8828C810 00000008 +@00002FA2 0202A511 00000000 02401810 00000000 02201850 00000208 A4A96390 +@00002FA9 00001018 011C12E0 00000008 A4012790 00006901 0224B450 00000000 +@00002FB0 031C1810 00000218 01A840D2 00000000 88181810 00000008 0202A511 +@00002FB7 00000000 021818D0 00000218 031C2052 00000218 03A82092 00000D08 +@00002FBE 6439E230 00006909 7E39E270 00006901 882CC810 00000008 0202A511 +@00002FC5 00000000 01301890 00000000 04A818D0 00000218 011C0E50 00000218 +@00002FCC 011C20D2 00000008 2301A721 00000008 2A01A770 00000008 800127E1 +@00002FD3 00000200 01002850 00009D09 80212350 00000000 02301890 00006901 +@00002FDA 0420C810 00006901 0620B4D0 00001018 046C8530 0000E901 060028D0 +@00002FE1 00000800 066CB4D0 00000818 036C85F0 00006901 02002810 00006901 +@00002FE8 02002850 00000800 8828C810 00000008 0202A511 00000000 04A81890 +@00002FEF 00000800 8828CC10 00000008 0202A511 00000000 70401810 00000218 +@00002FF6 044800D0 00000000 04A81890 00000000 703C1810 00001318 C44800F0 +@00002FFD 00000800 9028C810 00000008 0202A5F1 00000208 804DA310 00000000 +@00003004 02201850 00000D08 7F212160 00006901 0220C810 00000008 A4012790 +@0000300B 00000818 011C12E0 00006901 0234C810 00000818 011C12E0 00000000 +@00003012 02201850 00000900 8828C810 00000008 0202A511 00000208 A4A9E390 +@00003019 00007709 80256280 00006901 0224B450 00000008 A401A790 00000008 +@00003020 1A0027F1 00007701 0134C890 00006901 0634C8D0 0000E701 C06CC810 +@00003027 0000E819 9228E012 00000008 0202A5F1 00000E00 882CC810 00000008 +@0000302E 0202A511 00000200 02002890 00000008 350127D1 00000000 04A81890 +@00003035 00009D09 83292310 00000000 04281890 00000008 1A0027F1 00006901 +@0000303C 0138C890 0000E701 C038C810 0000E819 9228E092 00000008 0202A5F1 +@00003043 00000008 8E01A7B1 00000200 02002850 00000000 01301890 00000000 +@0000304A 01341810 00000008 8F012711 00000200 040028D0 00000000 03201810 +@00003051 00000E00 882CC810 00000008 0202A511 00000000 02281810 00000000 +@00003058 012C1890 00000000 703C1810 00000218 04A800F0 00000008 8F012711 +@0000305F 00006901 0138B410 00000008 830127D0 00000000 022018D0 00000000 +@00003066 01341810 00000400 8830C810 00000008 0202A511 00000000 C0A81810 +@0000306D 00000400 9020C810 00000008 0202A5F1 00006909 83116220 00006901 +@00003074 0110B410 00000200 C0002810 00000D00 9020CC10 00000008 0202A5F1 +@0000307B 00000000 03201810 00000000 02141890 00000E00 0434B410 00006901 +@00003082 0440C810 00000000 48481810 00000000 034018D0 00000000 02341850 +@00003089 00000E00 882CC810 00000008 0202A511 00000000 50AA1890 00006901 +@00003090 88A0B410 00000008 0202A511 00000000 50AA18D0 00000900 8830CC10 +@00003097 00000008 0202A511 00000000 05A81810 00000900 8830C810 00000008 +@0000309E 0202A511 00004209 8751A370 00000218 06501FF0 00001B00 00A83B10 +@000030A5 00000218 0550BF13 00004201 04002890 00001200 05504931 00001200 +@000030AC 05500931 00001200 05500931 00001200 05500931 00001200 05500931 +@000030B3 00001200 05500931 00001200 05500931 00001200 05500931 00001200 +@000030BA 05500931 00001200 05500931 00001200 05500931 00001200 05500931 +@000030C1 00001200 05500931 00001200 05500931 00001200 05500931 00001200 +@000030C8 05500931 00001200 05500931 00001200 05500931 00001200 05500931 +@000030CF 00001200 05500931 00001200 05500931 00001200 05500931 00001200 +@000030D6 05500931 00001200 05500931 00001200 05500931 00001200 05500931 +@000030DD 00001200 05500931 00001200 05500931 00001200 05500931 00001200 +@000030E4 05500931 00001200 05500931 00001200 05500931 00001200 05500911 +@000030EB 00001200 05502811 00000008 870127A0 00000000 049C1890 00000C02 +@000030F2 04002890 00004201 05A8C810 00000008 89518100 00006901 0624B4D0 +@000030F9 00001B00 8830C810 00000008 0202A511 00004301 00002B10 00000008 +@00003100 0A012751 00000000 05A81850 00000000 044C18F0 00001308 8951E200 +@00003107 00000218 069CC0D3 00001308 8851A150 00001B08 8955E100 00004301 +@0000310E 066CB4D0 00000008 886D41A0 00004201 0550C810 00000218 066CC0D3 +@00003115 00006901 044CB4D0 00000008 89510100 00006901 0448B490 00001308 +@0000311C 8951E200 00001308 8851A1F0 00001B08 8955E100 00006901 0448B490 +@00003123 00006901 01002850 00000E00 0124B490 00006901 0118C890 00000200 +@0000312A 01002810 00000200 040028D0 00000500 882CC810 00000008 0202A511 +@00003131 00000000 00A81B10 00001200 044C02E1 00001200 044C02E1 00001200 +@00003138 044C02E1 00001200 044C02E1 00001200 044C02E1 00001200 044C02E1 +@0000313F 00001200 044C02E1 00001200 044C02E1 00001200 044C02E1 00001200 +@00003146 044C02E1 00001200 044C02E1 00001200 044C02E1 00001200 044C02E1 +@0000314D 00001200 044C02E1 00001200 044C02E1 00001200 044C02E1 00001200 +@00003154 044C02E1 00001200 044C02E1 00001200 044C02E1 00001200 044C02E1 +@0000315B 00001200 044C02E1 00001200 044C02E1 00001200 044C02E1 00001200 +@00003162 044C02E1 00001200 044C02E1 00001200 044C02E1 00001200 044C02E1 +@00003169 00001200 044C02E1 00001200 044C02E1 00001200 044C02E1 00001200 +@00003170 044C02E1 00000218 029CDF13 00000600 8830C810 00000008 0202A511 +@00003177 00000800 06A8B4D0 00000400 066CB4D0 00000218 C06CC013 00000218 +@0000317E 016C0110 00000600 9030C810 00000008 0202A5F1 00006901 0114C850 +@00003185 00000908 89192150 00006901 0118C890 00000600 8830C810 00000008 +@0000318C 0202A511 00001300 05A8B450 00000400 D554B450 00000008 0202A5F1 +@00003193 00000008 8D558161 00000208 8C2923E0 00006901 0224B450 00000000 +@0000319A C0481810 00000F00 9028C810 00000008 0202A5F1 00006909 843D6290 +@000031A1 00006901 033CB4D0 00000000 02D01810 00009D09 0020A302 00000000 +@000031A8 042C1890 00006901 0438C8D0 00000008 A50127E0 00005C00 020028D0 +@000031AF 00006901 01002850 00000E00 0124B490 00006901 0118C890 00000200 +@000031B6 01002810 00000500 882CC810 00000008 0202A511 00000400 05A8C850 +@000031BD 00000600 8830C810 00000008 0202A511 00001500 05A8C850 00000218 +@000031C4 01540110 00000218 D054C013 00000008 0202A5F1 00006901 0114C850 +@000031CB 00000908 8D1921A0 00006901 0118C890 00000200 C0002810 00000600 +@000031D2 9030C810 00000008 0202A5F1 00006905 0448B490 00000000 00000010 +@000031D9 00001200 0678B4D0 00007701 046CB410 00001B18 04408530 00006901 +@000031E0 066CB4D0 00006905 0348B4D0 00001B18 033C85F0 00000208 8F11A3E0 +@000031E7 00000400 8818C810 00000008 0202A511 00000000 70401810 00001318 +@000031EE 05A80050 00000000 703C1810 00000218 04A800F0 00000000 C0541810 +@000031F5 00000900 0510C850 00001500 9020CC10 00000008 0202A5F1 00006909 +@000031FC 8F116210 00006901 0110B410 00000208 0024A102 00000000 C04C1810 +@00003203 00000900 9020CC10 00000008 0202A5F1 00000208 0024A302 00000200 +@0000320A C0002810 00000900 9020C810 00000008 0202A5F1 00006909 90256240 +@00003211 00006901 0224B450 00000008 0000A702 00000000 044818D0 00000000 +@00003218 03281810 00000000 012018D0 00000030 15148000 00000000 03381850 +@0000321F 00000030 14148000 00000000 00000010 00000008 91012760 0000C301 +@00003226 011C30D0 0000021C 02308093 00007E19 4828A033 00001300 0408B4D0 +@0000322D 00000208 914DA320 00000208 924D6100 0000EE01 68002810 00001300 +@00003234 0408B4D0 00000008 921D8E10 00000008 630127B1 00006901 0134C890 +@0000323B 00000000 02341810 00006901 01002890 00000008 750127C0 00006901 +@00003242 02002850 00000008 911D8EA0 00000008 630127B1 00007701 0134C890 +@00003249 00006901 0334C850 00000000 023018D0 00006901 0334B490 00000008 +@00003250 710127B0 00006901 02002810 00000008 921D4E10 00006901 040028D0 +@00003257 00000008 9101A7A0 00000008 911D4EA0 00006901 040028D0 00000008 +@0000325E 9201A710 0000ED05 4A002890 00000000 00000010 00000208 924DA3E0 +@00003265 00000308 934DE380 00006909 9335E380 00000D00 8830C810 00000008 +@0000326C 0202A511 0000EB09 93A9E380 0000ED1D 4AA8E092 00000000 00000010 +@00003273 00000208 934DE1B0 00001300 0408B4D0 0000C301 011C30D0 00000008 +@0000327A 630127B1 00007701 0134C890 00007E19 4828A033 00000008 940127B1 +@00003281 00000200 04002890 00000000 C0481810 00000800 9028C810 00000008 +@00003288 0202A5F1 00000208 0048E302 00006901 011CB4D0 0000E701 C01CC810 +@0000328F 00000000 90281810 00000008 0202A5F1 00000800 0428C890 00000008 +@00003296 A50127E0 00006901 040028D0 00006901 02002810 00000800 8830C810 +@0000329D 00000008 0202A511 00000000 00A81B10 00001300 044802A1 00001300 +@000032A4 044802A1 00001300 044802A1 00001300 044802A1 00001300 044802A1 +@000032AB 00001300 044802A1 00001300 044802A1 00001300 044802A1 00001300 +@000032B2 044802A1 00001300 044802A1 00001300 044802A1 00001300 044802A1 +@000032B9 00001300 044802A1 00001300 044802A1 00001300 044802A1 00001300 +@000032C0 044802A1 00001300 044802A1 00001300 044802A1 00001300 044802A1 +@000032C7 00001300 044802A1 00001300 044802A1 00001300 044802A1 00001300 +@000032CE 044802A1 00001300 044802A1 00001300 044802A1 00001300 044802A1 +@000032D5 00001300 044802A1 00001300 044802A1 00001300 044802A1 00001300 +@000032DC 044802A1 00001300 044802A1 00000218 0448C093 00000218 C09CDF13 +@000032E3 00000800 9028C810 00000008 0202A5F1 00000D08 942121C0 00006901 +@000032EA 0220C810 00000008 0000A702 0000EE01 68002810 00000208 0F4CA3C1 +@000032F1 00000208 974DE2A0 0000C301 011C30D0 00001300 0408B4D0 00000008 +@000032F8 630127B1 00006901 0134C890 00000000 022818D0 00000008 98012761 +@000032FF 00000000 03341890 00000000 02341810 00000800 8828C810 00000008 +@00003306 0202A511 00000208 A4A96390 00000000 02201850 00000008 A4012790 +@0000330D 00006901 0224B450 00000200 04002890 00006901 05002810 00000000 +@00003314 02341810 00000800 8830C810 00000008 0202A511 00000218 0650A1F3 +@0000331B 00001418 20AAC173 00008100 00002B10 00001300 044809B1 00001300 +@00003322 044809B1 00001300 044809B1 00001300 044809B1 00001300 044809B1 +@00003329 00001300 044809B1 00001300 044809B1 00001300 044809B1 00001300 +@00003330 044809B1 00001300 044809B1 00001300 044809B1 00001300 044809B1 +@00003337 00001300 044809B1 00001300 044809B1 00001300 044809B1 00001300 +@0000333E 044809B1 00001300 044809B1 00001300 044809B1 00001300 044809B1 +@00003345 00001300 044809B1 00001300 044809B1 00001300 044809B1 00001300 +@0000334C 044809B1 00001300 044809B1 00001300 044809B1 00001300 044809B1 +@00003353 00001300 044809B1 00001300 044809B1 00001300 044809B1 00001300 +@0000335A 044809B1 00001300 044809B1 00000E08 9B216120 00000000 059C1810 +@00003361 00001B18 C09C0210 00000800 902CCC10 00000008 0202A5F1 00006909 +@00003368 98216290 00006901 0220B410 00000000 00501B10 00001300 04480991 +@0000336F 00001300 04482891 00000218 C09CC013 00006905 902CC810 00000008 +@00003376 0202A5F1 0000EA09 004C6302 0000ED01 4A002890 00000008 001C8E02 +@0000337D 00006909 0034E302 00006901 8830C810 00000008 0202A511 0000EB0D +@00003384 00A8E302 00000A18 420CE0B2 00005C00 02002890 0000000C 001C8E02 +@0000338B 00005D00 02002890 00005D00 02002890 0000000C 001C8E02 00005C00 +@00003392 02002890 00000000 02301890 0000000C 001C8E02 00006519 024CE0B2 +@00003399 00000000 02301890 0000000C 001CCE02 00006519 024CE0B2 00000000 +@000033A0 01D01850 00000000 03D01810 00000218 063088D0 00007C09 0F6CE3C1 +@000033A7 00000030 6614A800 00000000 88301810 00000008 0202A511 00000430 +@000033AE 4CAAC902 00000218 06A88DD0 00007C09 0F6CE3C1 00000000 03A01810 +@000033B5 00000218 03A82052 00000218 0414E092 00000008 350127D1 00000300 +@000033BC 02002890 00000218 043425B2 00000D00 0448B490 00000008 0C012781 +@000033C3 00000218 0428E0D2 00000208 9E492340 00000000 019C18D0 00006901 +@000033CA 011CC8D0 00000008 1B002711 00007701 011CC890 00000D02 C0002810 +@000033D1 00009119 9228E0D2 00000008 0202A5F1 00006519 C01CE012 00006901 +@000033D8 902CC810 00000008 0202A5F1 00000008 1A0027F1 00006901 0134C890 +@000033DF 0000E701 C034C810 0000E819 9228E092 00000008 0202A5F1 00000000 +@000033E6 022C1850 00000000 01341890 00000218 0414E0D2 00006901 01002850 +@000033ED 00000000 022818D0 00000008 98012761 00000000 03341890 00000000 +@000033F4 032C1810 00006519 C048E012 00000500 9024CC10 00000008 0202A5F1 +@000033FB 00000E00 882CC810 00000008 0202A511 00000208 A0A9E310 00006909 +@00003402 A035A350 00006901 0334B450 00000008 98012761 00000000 03341890 +@00003409 00000008 9F012790 00006901 0114C850 00000000 02241890 00000000 +@00003410 042C1890 00000000 02141810 00000008 A50127E1 00006901 0418C8D0 +@00003417 00000808 001CA302 00006519 C020E012 00006901 9428C890 00000008 +@0000341E 0202A5F1 00000800 0448CC90 00000008 A50127E0 00000800 041CB4D0 +@00003425 00000000 01D018D0 00000000 03D01890 00000030 661CA800 00000030 +@0000342C 6638A800 00000218 011C12F0 00000000 01D41850 00000008 C0002781 +@00003433 00000218 0338E092 00000008 0F1890C1 00000218 011893A0 00000E0A +@0000343A 0F18E3C1 00006909 0F20E3C1 00000200 02002890 00000008 350127D1 +@00003441 00000000 04381890 00000000 04281890 00000008 0A012751 00000000 +@00003448 003C1B10 00000208 0F4CE3C1 00000000 049C1890 00000008 0C012781 +@0000344F 00009D01 040028D0 00006901 039CC850 00000000 022418D0 00000000 +@00003456 023C1810 00000008 630127B1 00006901 0134C890 00000000 032018D0 +@0000345D 00000000 043818D0 00006901 02002810 00000200 C0002810 00000800 +@00003464 9028C810 00000008 0202A5F1 00000D08 A3212100 00006901 0220C810 +@0000346B 00000000 03281810 00006901 033CB4D0 00000F00 882CC810 00000008 +@00003472 0202A511 00000008 940127B1 00000218 04A8E092 00000208 0F48E3B1 +@00003479 00000208 A33D6260 00006901 033CB4D0 00000218 021C2052 00000218 +@00003480 021C2012 00000900 8828C810 00000008 0202A511 00000208 A4A9E390 +@00003487 00006909 A32562F0 00006901 0224B450 00000218 781C0E10 00000000 +@0000348E 060818D0 00000218 0428E092 00000008 A5012730 00006901 0420C8D0 +@00003495 00006909 A525E270 00006901 8828C810 00000008 0202A511 00000218 +@0000349C 0428E092 00006901 0420C8D0 00000218 01A80951 00000208 A5156350 +@000034A3 00000000 06A818D0 00000008 A51DCE30 00001B00 0608B4D0 00000008 +@000034AA A50127E0 00006519 026CE092 0000EB09 A56DE370 00000008 A51D8E30 +@000034B1 00000908 0020A302 00000900 0420B4D0 00001300 011CB4D0 0000E701 +@000034B8 C01CC810 00000218 9428E092 00000008 0202A5F1 00000900 0448CC90 +@000034BF 00000208 004CA302 00000000 48281810 00000008 26022791 00006519 +@000034C6 0148E052 00000218 0228E092 0000A908 A629E350 00000200 290228D0 +@000034CD 0000ED00 8828C810 00000008 0202A511 00001300 0214C850 00000000 +@000034D4 01A81850 0000F100 8828C810 00000008 0202A511 00000218 0224E052 +@000034DB 00000500 06A8C8D0 00000218 066CE0D2 00000908 A76DE3D0 00001300 +@000034E2 D0A8B410 00000008 0202A5F1 0000B008 A729E330 0000B300 2CAAC850 +@000034E9 0000F200 8828C810 00000008 0202A511 00001300 0608B4D0 00006F00 +@000034F0 1B6EC8D0 00000218 06A8E0D2 00000908 006C2202 00000000 02D01890 +@000034F7 00000918 D0A8F820 00000008 0202A5F1 00000008 0000A702 00006901 +@000034FE 044CB4D0 00000F0A 0F4CE2B1 00001002 C04CC810 00000000 90481810 +@00003505 00000008 0202A5F1 00000004 02D01890 00000000 00000010 00000008 +@0000350C AA819F60 00000000 21A21890 00000030 10A46C80 00000008 00A40D02 +@00003513 00008600 80002810 00000000 88A81810 00000008 0202A511 00000000 +@0000351A 21A21850 00000030 12A8A800 00000000 48141810 00000000 48181810 +@00003521 00000000 48281810 00000008 26022711 00008500 48002810 00000000 +@00003528 062818D0 00000000 02D01890 00000000 01D01890 00000000 01D01850 +@0000352F 00000008 AB0127E1 00001102 51022810 00008604 90002810 00000008 +@00003536 0202A4D1 00000000 88A81810 00000008 0202A511 00008500 21A2B410 +@0000353D 00000218 06A888D0 00009409 0F6CE3B1 00008400 C0A8B410 00008518 +@00003544 C0A8E012 00008604 90002810 00000008 0202A4D1 00000030 10A46C80 +@0000354B 00000030 11A450C0 00000008 0F80DCC1 00000004 00000010 00000218 +@00003552 700C4C31 00000008 AA012760 00000000 C0D01810 00000008 A881DF50 +@00003559 00000008 A8818050 00000008 AB81C000 00000000 20AA1850 0000811C +@00003560 88A0F820 00000008 0202A511 00000008 AA012760 00000008 0F809EB1 +@00003567 00000008 AA819D60 00000008 AA0127D0 00000008 0F809EB1 00000000 +@0000356E 21A21890 00008618 88A8E032 00000008 0202A511 00008600 20A2B450 +@00003575 00000218 06A888D0 00009409 0F6CE3B1 00008100 06A8B4D0 00001B1C +@0000357C 88A0F820 00000008 0202A511 00001618 050C10B0 00000008 AF01A751 +@00003583 00000000 036C18D0 00000008 38002781 00008500 48002810 00000000 +@0000358A 48281810 00000008 3C0027B1 00000000 C0281810 00000030 11405080 +@00003591 00001500 0150C890 00000000 04007810 00006901 02D0B450 00006901 +@00003598 8A24C850 00000008 0202A511 00006901 0118B490 00000000 01A81850 +@0000359F 00004419 D028E012 00000008 0202A4D1 00000218 061488D0 00001008 +@000035A6 AE6DA360 00000000 C0141810 00000000 90281810 00000008 0202A4D1 +@000035AD 00000C09 AC1962A0 00006901 0228C890 00000208 AE19A310 00006901 +@000035B4 8224C850 00004419 D028E012 00000008 0202A4D1 00006901 0118B490 +@000035BB 00000000 80281810 00006501 D0002810 00000008 0202A4D1 00000208 +@000035C2 AD196280 00006901 0228C890 00000900 0628B0D0 00008500 C06CC810 +@000035C9 00008518 C0A8E012 00000008 AF0127D0 00001618 050810B0 00006909 +@000035D0 0F18E3B1 00000000 88141810 00000008 0202A511 00006901 0224C850 +@000035D7 00000000 01A81890 00004419 D028E012 00000008 0202A4D1 00000000 +@000035DE C0181810 00000000 90281810 00000008 0202A4D1 00000200 01002890 +@000035E5 00006901 8814C810 00000008 0202A511 00000008 AC0127D0 00006901 +@000035EC 0228C890 00000000 261618D0 00000000 271A1810 00000000 27261850 +@000035F3 00000000 27421890 00000000 273E18D0 00000000 282A1810 00000004 +@000035FA 28521850 00000000 28561890 0000A200 05002850 0000A100 05002810 +@00003601 0000A000 02002890 00009F00 030028D0 00009E00 04002810 00009D00 +@00003608 02002850 00009C04 01002890 00009B00 01002850 00000008 B0418180 +@0000360F 00000008 AC012780 00000008 1C00A7F1 00007709 B019E2C0 00000000 +@00003616 88D01810 00000008 0202A511 00000008 AE01A730 00007701 0218B490 +@0000361D 00000A00 8AD4C890 00000008 0202A511 00000000 06A818D0 00000030 +@00003624 10A46C80 00000008 B1A58D90 00000000 88A81810 00000008 0202A511 +@0000362B 00000218 06A888D0 00009C09 B16DA390 00000008 1C00A7F1 00000008 +@00003632 AC012790 00009401 04002810 00000000 80281810 00008219 D0A8E012 +@00003639 00000008 0202A4D1 00006901 88A0B410 00000008 0202A511 00006901 +@00003640 0118B490 00000218 D0A8A013 00000008 0202A4D1 00000008 AC012780 +@00003647 00000008 1C00A7F1 00000000 21AA1850 00000008 B3810030 00000000 +@0000364E 21A21890 00000030 10A46C80 00000008 00A40E02 00008600 80002810 +@00003655 00000000 88A81810 00000008 0202A511 00000218 06A888D0 00009409 +@0000365C B36D2310 00008518 C0A8E012 00001202 51022810 00000008 AB0127E1 +@00003663 00002218 06085DF0 00008604 90002810 00000008 0202A5F1 00000901 +@0000366A 06A0B4D0 00001B00 055CB0D0 00006901 42A2C850 00006901 588CC810 +@00003671 00000000 428E1890 00000000 C09C1810 00006A19 9804E032 00008500 +@00003678 C0B02810 00000008 B2012760 00001302 68002810 00000218 06A8E0D2 +@0000367F 00000218 00A0E052 00001B08 B405A110 00002309 AA05A160 00000000 +@00003686 48A01810 00000000 88A81810 00000008 0202A511 00000218 06A888D0 +@0000368D 0000A409 B46DE3C0 0000E319 C0A8E012 00000000 80D01810 00000308 +@00003694 AAA1A360 00000000 90A01810 00000008 0202A5F1 00000008 AA01A760 +@0000369B 00000000 48A01810 00007801 88D4C810 00000008 0202A511 00000008 +@000036A2 B701A731 00000008 AF01A751 00007001 C0D4C810 00000008 B701A791 +@000036A9 00007001 88D4C810 00000008 0202A511 00008219 48A8E032 00000008 +@000036B0 B801A7E1 00000000 88D41810 00000008 0202A511 00000300 88A8C810 +@000036B7 00000008 0202A511 00008E01 D0A83810 00000008 0202A5F1 00000008 +@000036BE 190027F1 00005B18 03085DF0 00000000 48281810 00007801 01002890 +@000036C5 00000008 1C0027F1 00005B18 03085DF0 00007701 8028C810 00008319 +@000036CC D0D08033 00000008 0202A5F1 00000000 04D01810 00000000 8840E410 +@000036D3 00000008 0202A511 00000000 9028E410 00000008 0202A5F1 00000000 +@000036DA 88401810 00000008 0202A511 00000000 90281810 00000008 0202A5F1 +@000036E1 0000141A C028E032 00000000 90401810 00000008 AF01A7D1 00000008 +@000036E8 B401A760 00000218 C0A88013 00005C08 00A8A302 00000130 4CAAC902 +@000036EF 00000000 88A8E410 00000008 0202A511 00000008 B701A730 00000030 +@000036F6 10A46C80 00000218 01A43050 00000208 0014A302 00000000 89A81850 +@000036FD 00000008 0202A511 00000218 06A888D0 0000A409 006CA302 00000000 +@00003704 48A81810 00000000 80A0E410 00000008 0202A511 00000000 48A81810 +@0000370B 00000008 19002721 00005B18 03085DF0 00000000 80141810 0000A819 +@00003712 D028E032 00000008 0202A5F1 00000000 88A0E410 00000008 0202A511 +@00003719 00000218 06A880D3 00005C08 B76DE390 00000008 0000A702 00000000 +@00003720 89A8E450 00000008 0202A511 00000218 06A880D3 00005C08 006CA302 +@00003727 00000000 88A81810 00000008 0202A511 00000218 06A888D0 0000A409 +@0000372E 006CE302 00000000 06A018D0 00001B18 C0A8E012 00000000 90141810 +@00003735 00000008 0202A5F1 00000008 B801A7E0 00000218 45D2E0D2 00000008 +@0000373C BE012751 00000218 46D2E012 00000218 04D0E092 00008108 0008A302 +@00003743 00000008 53002701 00000000 04441810 00000008 BA012770 0000A501 +@0000374A 8840C810 00000008 0202A421 00000218 06A8E0D0 00001B00 0440B410 +@00003751 00000208 BA496330 00006901 0448B490 00000008 BA01A7F1 00000000 +@00003758 88401810 00000008 0202A421 00000008 BE0127D1 00000000 04A81890 +@0000375F 00000008 BD01A7A0 00004918 0608E8F2 00001701 066CC8D0 0000150A +@00003766 006C2102 00006901 46022850 00004900 80002810 00000000 D0000010 +@0000376D 00000008 0302A401 00001901 460ACC50 00000000 48181810 00000000 +@00003774 481C1810 00000000 48281810 00004918 120AE070 00004900 C0002810 +@0000377B 00000218 04A44C90 00007709 0F48A1B0 00000218 01A4A091 00004A18 +@00003782 0408F8B0 00004A00 120ACC90 00004B00 120EC8D0 00000000 464E1890 +@00003789 00000008 33022741 0000A401 02002890 00000008 350227D1 00000000 +@00003790 02D01890 00001A01 040028D0 00000000 01D018D0 00000004 01D01890 +@00003797 00001901 460EC850 00000008 BE01A751 00008108 0008A302 00000000 +@0000379E 488C1810 00000008 BA0127F1 00000000 58441810 00000008 BE0127D1 +@000037A5 00000000 04941890 00000008 BD0127A0 00000000 58D01810 00000008 +@000037AC BC0127C0 00000008 BE01A781 00004900 80002810 00000000 D0D01810 +@000037B3 00000008 0302A401 00004900 120ACC50 00001709 BD092160 00001701 +@000037BA 450EC8D0 00000318 04A0E090 00000308 BE496310 00001901 460EC850 +@000037C1 00000008 BB012761 00004900 120EC850 00000008 C0012791 00000000 +@000037C8 204E1850 00008104 064CB4D0 00007E00 1F6EC890 00000008 BE598D80 +@000037CF 00004800 060028D0 00005D08 BE6DE3B0 00001909 BE09E3B0 00004B18 +@000037D6 200AF870 00008108 C009E390 00001705 6088B410 00000000 20020050 +@000037DD 00000000 48481810 00004900 84002890 00001701 060028D0 00009F01 +@000037E4 066CC8D0 00001819 D06CF031 00000008 0302A401 00006901 8448C890 +@000037EB 00000000 D04C1810 00000008 0302A401 00006901 8448C890 00007E00 +@000037F2 D0002810 00000008 0302A401 00006901 8448C890 00006400 D0002810 +@000037F9 00000008 0302A401 00006901 8448C890 00002600 D0002810 00000008 +@00003800 0302A401 00006901 8448C890 00000000 D0D01810 00000008 0302A401 +@00003807 00006901 8448C890 00000A01 0644B4D0 00000218 066C20F1 00000901 +@0000380E 066CC8D0 00002201 D06CB410 00000008 0302A401 00006905 124AC850 +@00003815 00001602 88002810 00000008 0202A421 00000000 04A818D0 00006901 +@0000381C 88A0C810 00000008 0202A421 0000131C 04A8F0F1 00000000 00000010 +@00003823 00000008 C0012791 00000218 04D0E092 00001300 0448C890 00000218 +@0000382A 01D0E0D2 00000000 054C1850 00000700 0554C850 00000008 C001A791 +@00003831 00001200 064CB4D0 00000208 AD6CE1E0 00001500 064CB4D0 00000208 +@00003838 C16DA260 00001702 90002810 00000008 0202A5F1 00000008 C101A750 +@0000383F 00000218 01D080D3 00000218 061C88D0 00009909 C36DE3E0 00004C08 +@00003846 0F1CE3C1 00004208 001CA302 00007701 881CC810 00000008 0202A511 +@0000384D 00000218 38AAE052 00008901 881CC810 00000008 0202A511 00000218 +@00003854 39AAE012 00006901 881CC810 00000008 0202A511 00000130 4CAAC902 +@0000385B 00000008 C0002791 00000000 01A81850 00000008 C2181091 00000200 +@00003862 03002810 00000218 06188DD0 00006901 066CB4D0 00000218 386E80F0 +@00003869 00009A09 0F6CE2C1 00007709 0F20E3C1 0000E300 70002810 00000218 +@00003870 383240B3 00000000 372618D0 00001B00 0678C8D0 00009A01 066CB4D0 +@00003877 00000218 706C8030 00000218 063C40D3 0000DF04 386EC810 00000000 +@0000387E 101E1890 00009709 006CE301 00004208 001CA302 00000000 481C1810 +@00003885 00006501 48002810 00006501 48002810 00000008 DD01A721 00000218 +@0000388C 384A5B53 00000218 3922E012 00000218 06188DD0 00006901 066CB4D0 +@00003893 00000218 386E80F0 00009A09 0F6CE2C1 00000000 371618D0 00000218 +@0000389A 38328090 00000008 0A012751 0000E100 04002890 0000DF04 389EC810 +@000038A1 00000000 101E1890 00000008 C101A7E1 00000008 CA0127F1 00000218 +@000038A8 03D063B0 00000218 03D0E052 00000008 C0002781 00000000 012418D0 +@000038AF 00009601 8814B410 00000008 0202A511 00000000 00341B10 00000008 +@000038B6 CA012731 00000218 04A86CB2 00009C01 8814B410 00000008 0202A511 +@000038BD 00000218 01A8E092 0000A401 8814B410 00000008 0202A511 00008A09 +@000038C4 0F18E2B1 00000600 0378B450 00000D18 03348530 00000218 02A8E0D2 +@000038CB 00009F01 8814B410 00000008 0202A511 00000A00 0418C810 00008A09 +@000038D2 C84122C0 00000218 02A8E012 00000A00 0678B4D0 00000A18 026C85B0 +@000038D9 00000A18 03408570 00000900 8A4CC850 00000008 0202A511 00000000 +@000038E0 032C18D0 00000000 04A81890 0000DF08 C71DA190 0000E008 C71DE190 +@000038E7 00000000 881C1810 00000008 0202A421 00008A01 7028B410 00000218 +@000038EE 044800F0 00000000 70381810 00001300 D0A80010 00000008 0202A5F1 +@000038F5 00006901 801CC810 0000DF08 C8A1A130 0000E008 C8A1E130 00006901 +@000038FC 881CC810 00000008 0202A421 00008A01 7034B410 00000218 044800D0 +@00003903 00000000 70381810 00001300 D0A80010 00000008 0202A5F1 00006909 +@0000390A AD20A2E0 00006901 0220B410 0000E100 011CC8D0 00008A01 7030B410 +@00003911 00000218 04480090 00006909 C73D6200 00006901 033CB4D0 00000008 +@00003918 C60127D0 00006901 8A24C850 00000A18 0218A5B0 00000900 8A4CC850 +@0000391F 00000008 0202A511 00000000 032C18D0 00000000 04A81890 0000DF08 +@00003926 C91DA1A0 0000E008 C91DE1A0 00000000 881C1810 00000008 0202A421 +@0000392D 00008A01 7028B410 00000218 044800F0 00000000 70381810 00001300 +@00003934 D0A80010 00000008 0202A5F1 00006909 AD20A2E0 00006901 0220B410 +@0000393B 0000E100 011CC8D0 00008A01 7030B410 00000218 04480090 00006909 +@00003942 C93D6210 00006901 033CB4D0 00000008 C80127E0 00006901 8A24C850 +@00003949 00001200 040802E1 00001200 044C02E1 00001200 044C02E1 00001200 +@00003950 044C02E1 00001200 044C02E1 00001200 044C02E1 00001200 044C02E1 +@00003957 00001200 044C02E1 00001200 044C02E1 00001200 044C02E1 00001204 +@0000395E 044C02E1 00001200 044C02E1 00000030 66D4A800 00000218 04D06CB2 +@00003965 00000030 66D4A800 00000008 CA012731 0000E100 00002B10 0000E300 +@0000396C 70002810 00000218 06D0E0F2 0000E200 066CC8D0 00000218 046C5B92 +@00003973 00000218 78480E10 00001218 040893A1 00001200 024CC850 0000DF04 +@0000397A 0224C850 00000218 026C8090 00000008 C101A7E1 00000008 CA0127F1 +@00003981 00000218 03D063B0 00000218 02D0E012 0000E300 70002810 00000218 +@00003988 01D0E0F2 00006519 011CE0E2 00000208 AD20A3E0 00006509 AD1CA3E0 +@0000398F 00000700 4928C8D0 00000218 011C5BD2 00000218 030C8010 00000A00 +@00003996 0430B410 00000A18 70408530 00000218 040C0030 00000208 CD1D23F0 +@0000399D 00000000 01201890 0000DF08 0F24A1C1 0000E008 0F24E1C1 00000000 +@000039A4 88241810 00000008 0202A421 00000208 CD19A2A0 0000E008 0FA0E1C1 +@000039AB 00000000 70381810 00001000 D0A80010 00000008 0202A5F1 00006901 +@000039B2 0118B490 00000008 CD012710 0000E100 88A0C810 00006901 0224C850 +@000039B9 00006901 011CB4D0 00000208 CC1D62D0 00000000 04007810 00000208 +@000039C0 AD1CA1E0 00000C00 01D00890 00000208 AD18A3E0 00006901 0118B490 +@000039C7 00000218 70188530 00000218 04400010 00000008 CC01A7D0 00000008 +@000039CE C101A7E1 00000218 4FD2E0D2 00005D18 4F02E092 00000218 03D063B0 +@000039D5 00000030 66D4A800 00000218 05D0E052 00000030 66D4A800 00000218 +@000039DC 06D0E0D2 00000030 66D4A800 00000218 04D0E0D2 00000030 66D4A800 +@000039E3 00001300 0354B4D0 00000218 04D4E092 00001208 CF6D61A0 00001200 +@000039EA 026CB4D0 00000B00 0208B4D0 00006519 406CE032 00000F00 0308B4D0 +@000039F1 00000000 045418D0 00003F01 4F022890 00005D18 4F02E0D2 00006519 +@000039F8 484CE032 00000008 CA01A7F1 00000208 D03D6100 0000E100 03002850 +@000039FF 00000D00 0308B450 00000F00 0308B4D0 00000F00 042CB410 00000208 +@00003A06 D0416160 00000000 012C18D0 00000000 013C18D0 00000000 032C18D0 +@00003A0D 00000000 021C18D0 00000218 012CDF52 0000E300 70002810 00000218 +@00003A14 040C00F0 00006901 044CB490 00000218 044885B0 00003E09 D109A350 +@00003A1B 0000DF08 D125A150 0000E008 D125E150 00000000 88241810 00000008 +@00003A22 0202A421 00001218 70288030 00000218 060C00E0 00000000 70381810 +@00003A29 00001B00 D0A80010 00000008 0202A5F1 00006909 D11D6290 00006901 +@00003A30 011CB4D0 00000208 AD1CA1E0 00003F09 AD08A3E0 00000F00 0114B450 +@00003A37 00000208 D115A1D0 00000208 D141E1F0 00000008 D00127C0 00000D00 +@00003A3E 0224C850 00000B00 0114C850 00001300 0228C890 00008A09 D029A1C0 +@00003A45 00006901 0224C850 00000008 D00127C0 00000000 02000090 00000008 +@00003A4C DD01A721 00000000 014818D0 00001500 019CB410 00000000 03141850 +@00003A53 00000000 023018D0 00001400 047CB490 00001418 04488530 00000008 +@00003A5A DD01A721 00000000 4A1E1810 00000000 02101890 00000000 02341810 +@00003A61 00000000 4A4A1850 00000218 4A4A5B93 00000218 01485B93 00000000 +@00003A68 019C18D0 00000000 4A561BD0 00000008 0A012751 00002A01 04002890 +@00003A6F 00000000 4B9E1810 00002C01 0114B450 00002801 04002890 00000218 +@00003A76 02485B53 00000030 66D4A800 00000008 D5D54900 00000218 03D0E0D2 +@00003A7D 00000F00 0308B4D0 00000218 033CE0D2 00000600 0108B490 00000900 +@00003A84 0208B450 00006901 041CB490 00000008 0A012751 00000600 0008B710 +@00003A8B 00000500 019CC850 00002B01 043CC890 00000008 0C012781 00000000 +@00003A92 041C18D0 00001200 4A1EB7D0 00000008 0A012751 00002A01 0408B490 +@00003A99 00000000 4B9E1810 00006901 043CB490 00000008 0A012751 00000900 +@00003AA0 0008B710 00000800 029CC810 00000030 66D4A800 00000000 70401810 +@00003AA7 00000218 04D000B0 00000008 D7490980 00000218 49D26330 00001200 +@00003AAE 490AB450 00000218 04308090 00000218 042C80D0 00002901 0530B410 +@00003AB5 00008A01 0348B490 00001408 D53961D0 00001200 034CB450 00000000 +@00003ABC 03501890 00002801 052CB410 00008A01 044CB490 00001408 D649E110 +@00003AC3 00000000 04501890 00001208 D639E130 00000000 03481890 00002509 +@00003ACA D639E150 00002501 03002890 00000208 AD38E1E0 00000E00 0608B0D0 +@00003AD1 00000B18 046C4533 00000008 DA012751 00002501 496ECC50 00002509 +@00003AD8 AD08A2E0 00000C18 060C80D0 00000E00 0330B410 00001B08 D631A2F0 +@00003ADF 00006901 0114C850 00002909 D731A120 00002901 0330B410 00002A01 +@00003AE6 0114B450 00000B18 060C80D0 00000E00 022CB4D0 00001B08 D72DA260 +@00003AED 00006901 0220C810 00002809 D52DA160 00000008 0F00A7C1 00000318 +@00003AF4 0448E092 00001200 490AB450 00000218 062C5BD3 00001B00 0220B410 +@00003AFB 00002501 022CC8D0 00002809 0F2CE2C1 00000218 062C5BD3 00001B00 +@00003B02 0220C810 00000218 06305BD3 00001B00 0114B450 00002501 0430C890 +@00003B09 00000008 0C012781 00002901 040028D0 00000000 03481810 00000218 +@00003B10 06305BD3 00001B00 0114C850 00000208 D831E2B0 00002901 03002810 +@00003B17 00002A01 0114C850 00000218 03308090 00000208 D839E3F0 00006901 +@00003B1E 0114B450 00008A01 03002890 00000218 042C80D0 00000208 D94D6340 +@00003B25 00000E00 034CB450 00006901 0220B410 00008A01 040028D0 00001308 +@00003B2C D939A260 00000000 034C1890 00000C08 D939A280 00000000 03301890 +@00003B33 00002509 D939A2A0 00002501 03002890 00000208 AD38A2E0 00000E00 +@00003B3A 042CB410 00006901 0638B4D0 00001018 046C4533 00000E00 0608B4D0 +@00003B41 00000008 DA012751 00002501 496EC850 00000E00 0330B410 00002509 +@00003B48 D8092180 00000E00 022CB4D0 00000008 AD00A7E0 00000600 0414B490 +@00003B4F 00002C01 0448C890 00002B01 051CB410 00000900 0420B4D0 00000F08 +@00003B56 0F28A1C1 00000F00 490AB490 00000208 DA5162F0 00002601 0508B450 +@00003B5D 00000600 0414B490 00000000 051C1810 00001508 DB51E210 00000000 +@00003B64 05501850 00000208 0054A202 00002601 4956C890 00001500 0550B410 +@00003B6B 00001018 063480D0 00002419 066C26F1 0000180A DC6DA390 00000600 +@00003B72 8C48C890 00000008 0202A511 00000218 70348030 00000218 49AAE0D3 +@00003B79 00000900 8C4CC8D0 00000008 0202A421 00002401 70002810 00002701 +@00003B80 06A800D0 00000000 20AA1850 00000000 70401810 00008118 D06C0020 +@00003B87 00000008 0202A5F1 00006909 DB556270 00006901 0554B450 00000008 +@00003B8E 00002702 00002609 DA09E3B1 00000900 944CC8D0 00000008 0202A5F1 +@00003B95 00000600 8C48C890 00000008 0202A511 00006901 0554B450 00000208 +@00003B9C DC556270 00000000 C0A81810 00000900 944CC8D0 00000008 0202A5F1 +@00003BA3 00000008 00002702 00002609 DA09E3B1 00000008 D600A781 00000218 +@00003BAA 05188D10 00006901 0550B410 00009A09 0F50E2C1 00000218 0430E092 +@00003BB1 00000008 0C012781 00000218 0420E0D2 00000000 059C1850 00000000 +@00003BB8 03481810 00000218 043CE092 00000008 0C012781 00000218 0420E0D2 +@00003BBF 00000000 70501810 00000218 044CE093 00000218 044880D0 00000208 +@00003BC6 0F4CE3C1 00000000 70501810 0000021C 0330E013 00000218 01A0E052 +@00003BCD 00000008 C101A7E1 00000218 03D063B0 00000030 66D4A800 00000008 +@00003BD4 2A01A721 00000030 66D4A800 00000008 2A012721 00000000 014818D0 +@00003BDB 00000030 66D4A800 00000008 2A012721 00000000 05481810 00000030 +@00003BE2 66D4A800 00000008 2A012721 00000000 01481890 00000030 66D4A800 +@00003BE9 00000008 2A012721 00000000 044818D0 00000030 66D4A800 00000008 +@00003BF0 2A012721 00000000 01481850 00000608 DF1561F0 00000000 064818D0 +@00003BF7 00000000 044C1890 00000000 046C18D0 00000000 061418D0 00000000 +@00003BFE 01181850 00000000 016C1890 00000708 E0156160 00000000 064818D0 +@00003C05 00000000 04501890 00000000 056C1810 00000000 061418D0 00000000 +@00003C0C 011C1850 00000000 016C18D0 00000708 E01961D0 00000000 064C18D0 +@00003C13 00000000 045018D0 00000000 056C1810 00000000 061818D0 00000000 +@00003C1A 011C1890 00000000 016C18D0 00000000 4B161890 00000000 4B4A1850 +@00003C21 00000000 4C1A1810 00000000 4B4E18D0 00000000 4C1E1890 00000000 +@00003C28 4C521850 0000E100 00002B10 00000008 CA012731 00000218 04146CB2 +@00003C2F 0000E100 4C4EB4D0 0000E100 00002B10 00000008 CA012731 00000218 +@00003C36 04186CB2 00000000 4D4E1810 0000E100 00002B10 00000008 CA012731 +@00003C3D 00000218 041C6CB2 00000000 4D4E1850 00002D01 0450B490 00000008 +@00003C44 0A012751 00000500 0018B710 00000000 059C1810 00002D01 04002890 +@00003C4B 00002F01 0448B490 00000008 0A012751 00000700 0014B710 00001400 +@00003C52 4D9EB4D0 00003709 AD0823E0 00002D01 04002890 00002E01 01002890 +@00003C59 00002F01 040028D0 00000008 E6012741 00003001 010028D0 00002D01 +@00003C60 04002890 00002E01 01002890 00003101 040028D0 00000008 E6012741 +@00003C67 00003201 010028D0 00003301 01002850 00003401 4D022890 00003609 +@00003C6E E315E1D0 00003509 AD1421E0 00003501 4D022890 00002F01 04002890 +@00003C75 00003001 01002890 00003101 040028D0 00000008 E6012741 00003201 +@00003C7C 010028D0 00000208 E41521F0 00000000 023C1810 00000208 E42D6140 +@00003C83 00000000 012C18D0 00000000 010000D0 00000708 E421E140 00000000 +@00003C8A 02000010 00000000 481C1810 00000008 CB012741 00000000 041418D0 +@00003C91 0000E008 E425E1F0 0000E408 E421A2C0 0000E400 02002810 00000708 +@00003C98 E421E1C0 00000000 012018D0 00000700 4820B410 00000008 CC012711 +@00003C9F 00006901 02002810 0000E100 0114B450 00003901 0550B410 00000208 +@00003CA6 E55121B0 00003801 022CB4D0 00003A09 E551E1E0 00003C01 0554B450 +@00003CAD 00000208 E6552110 00003B01 033CB4D0 00003D09 E355A150 00003D01 +@00003CB4 0554B450 00000008 E3012750 00006901 033CC8D0 00003A01 0550C810 +@00003CBB 00000008 E5012740 00006901 022CB4D0 00003A01 0550B410 00000008 +@00003CC2 E5012740 00006901 022CC8D0 00003D01 0554C850 00000008 E3012750 +@00003CC9 00006901 033CB4D0 00003701 4D0AB4D0 00000700 0118B4D0 00000208 +@00003CD0 AD1C23E0 00001300 0248B490 00006919 0448C1B3 00000008 0A012751 +@00003CD7 00000000 001C1B10 00000A00 049CB490 00000700 011CC8D0 00000008 +@00003CDE 0C012781 00000000 041C18D0 00000000 019C1890 00000000 03481850 +@00003CE5 00000A00 0428C890 00000008 0C012781 00000000 041C18D0 00003709 +@00003CEC E709A1A0 00000000 4E1E1890 00000000 021818D0 00000000 05341810 +@00003CF3 00000004 4E9E1810 00000000 4E4A1850 00000000 4F1E1850 00000000 +@00003CFA 031818D0 00000000 05341850 00000004 4E9E18D0 00000000 4F4A1810 +@00003D01 00000008 C101A7E1 00000218 03D0E092 00000030 66D4A800 00000008 +@00003D08 2A01A721 00000030 66D4A800 00000008 2A012721 00000000 014818D0 +@00003D0F 00000030 66D4A800 00000008 2A012721 00000000 05481810 00000030 +@00003D16 66D4A800 00000008 2A012721 00000000 01481890 00000030 66D4A800 +@00003D1D 00000008 2A012721 00000000 044818D0 00000030 66D4A800 00000008 +@00003D24 2A012721 00000000 01481850 00000608 E9156190 00000000 064818D0 +@00003D2B 00000000 044C1890 00000000 046C18D0 00000000 061418D0 00000000 +@00003D32 01181850 00000000 016C1890 00000708 EA156100 00000000 064818D0 +@00003D39 00000000 04501890 00000000 056C1810 00000000 061418D0 00000000 +@00003D40 011C1850 00000000 016C18D0 00000708 EA196170 00000000 064C18D0 +@00003D47 00000000 045018D0 00000000 056C1810 00000000 061818D0 00000000 +@00003D4E 011C1890 00000000 016C18D0 00000000 4B161890 00000000 4B4A1850 +@00003D55 00000000 4C1A1810 00000000 4B4E18D0 00000000 4C1E1890 00000000 +@00003D5C 4C521850 0000E100 00002B10 00000008 CA012731 00000218 04146CB2 +@00003D63 0000E100 4C4EB4D0 0000E100 00002B10 00000008 CA012731 00000218 +@00003D6A 04186CB2 00000000 4D4E1810 0000E100 00002B10 00000008 CA012731 +@00003D71 00000218 041C6CB2 00000000 4D4E1850 00002D01 0450B490 00000008 +@00003D78 0A012751 00000500 0018B710 00000000 059C1810 00002D01 04002890 +@00003D7F 00002F01 0448B490 00000008 0A012751 00000700 0014B710 00001400 +@00003D86 4D9EB4D0 00003709 AD0823E0 00002D01 04002890 00002E01 01002890 +@00003D8D 00002F01 040028D0 00000008 E6012741 00003001 010028D0 00002D01 +@00003D94 04002890 00002E01 01002890 00003101 040028D0 00000008 E6012741 +@00003D9B 00003201 010028D0 00003301 01002850 00003401 4D022890 00003609 +@00003DA2 ED15E170 00003509 AD1421E0 00003501 4D022890 00002F01 04002890 +@00003DA9 00003001 01002890 00003101 040028D0 00000008 E6012741 00003201 +@00003DB0 010028D0 00000208 F0152120 00000000 023C1810 00000208 ED2D61E0 +@00003DB7 00000000 012C18D0 00000000 010000D0 00000708 ED21E1E0 00000000 +@00003DBE 02000010 00000000 481C1810 00000008 CB012741 00000000 041418D0 +@00003DC5 0000E008 F025E120 0000E408 EE21A260 0000E400 02002810 00000708 +@00003DCC EE21E160 00000000 012018D0 00000700 0120B4D0 00000208 F01DA320 +@00003DD3 00006901 03002810 0000E300 70002810 00000218 03300030 00006901 +@00003DDA 0330B410 00000000 02281810 00000000 88241810 00000008 0202A421 +@00003DE1 00000000 04A81810 00006901 011CB4D0 00000800 0678B4D0 00001B18 +@00003DE8 70308530 00000218 064000D0 00000E00 066CC8D0 00000818 70308530 +@00003DEF 00001018 046C0030 00000208 EF1D22B0 00000C00 0220C810 00009D09 +@00003DF6 EF212100 00006901 0220C810 00000000 D0401810 00000000 04000010 +@00003DFD 00000008 0202A5F1 00000208 F01D2220 00000000 02000010 00000008 +@00003E04 EE0127E0 00006901 88A0C810 0000E100 0114B450 00003901 0550B410 +@00003E0B 00000208 F05121E0 00003801 022CB4D0 00003A09 F151E110 00003C01 +@00003E12 0554B450 00000208 F1552140 00003B01 033CB4D0 00003D09 EC55A1F0 +@00003E19 00003D01 0554B450 00000008 EC0127F0 00006901 033CC8D0 00003A01 +@00003E20 0550C810 00000008 F0012770 00006901 022CB4D0 00003A01 0550B410 +@00003E27 00000008 F0012770 00006901 022CC8D0 00003D01 0554C850 00000008 +@00003E2E EC0127F0 00006901 033CB4D0 00000008 D600A781 00000218 06188DD0 +@00003E35 00007809 0F6CE3C1 00000008 4900A7E1 00000208 F349A270 00000218 +@00003E3C 0220E012 00000208 F34D2270 00006901 044CB4D0 00000000 014818D0 +@00003E43 00000218 06309DD2 00001B00 8824C810 00000000 03301890 00000800 +@00003E4A 0330C810 00000F08 0F38E1C1 00000008 0202A421 00000000 05A81850 +@00003E51 00006901 011CB4D0 00000218 04384230 00001000 0678B4D0 00000218 +@00003E58 706C8030 00000218 05546010 00001400 5888B410 00000218 70408030 +@00003E5F 00001518 05946070 00000208 F31DA220 0000190A F2412170 00006901 +@00003E66 0338C890 00000000 D0541810 00000008 0202A5F1 00000208 F11DA2D0 +@00003E6D 00000008 F2012740 00006901 88A0C810 00000008 4D002760 00006F01 +@00003E74 6088B410 00000008 F301A7B1 00000008 F501A701 00005301 060028D0 +@00003E7B 0000DF00 540228D0 00000000 376E18D0 00005401 060028D0 0000E000 +@00003E82 55022810 00000000 386E1810 00005501 060028D0 0000E100 55022850 +@00003E89 00000000 386E1850 00005601 060028D0 0000E200 55022890 00000000 +@00003E90 386E1890 00005701 060028D0 0000E400 550228D0 00000000 396E1810 +@00003E97 00005801 060028D0 0000E300 56022810 00000000 386E18D0 00005901 +@00003E9E 060028D0 00004204 56022850 00000000 106E1890 00000218 01D080D3 +@00003EA5 00000218 061C88D0 00009909 C36DE3E0 00000008 C201A730 00004E01 +@00003EAC 01002850 00004F01 01002890 00000008 F515C980 00000200 01002850 +@00003EB3 00005709 F515A1A0 00005701 010CC850 00000008 F519C9C0 00000200 +@00003EBA 01002890 00000218 04186CB2 00000008 CA012731 00005501 00002B10 +@00003EC1 00005801 70002810 00000218 0614E0F2 00005601 066CC8D0 00000218 +@00003EC8 046C5B92 00000218 78480E10 00001218 040893A1 00001200 024CC850 +@00003ECF 00005301 0224C850 00000218 026C8090 00000218 0224E052 00005200 +@00003ED6 010CC890 00000218 01188090 00000A00 0678B0D0 00001B08 F819A220 +@00003EDD 00001B00 0418B0D0 00000000 016C1890 00000600 0678B0D0 00001B18 +@00003EE4 044C85F0 0000D001 01002850 00005318 0408F8B0 00005501 8024B410 +@00003EEB 00006901 80A0C810 00005501 88A0C810 00005309 F7A1A1F0 00005409 +@00003EF2 F8A1E120 00000008 0202A421 00000218 78142631 00000000 060028D0 +@00003EF9 00000000 704C1810 00000218 066C00D0 00001B00 D0A83010 00000008 +@00003F00 0302A401 00006901 0114C850 00006909 F7496250 00006901 0448B490 +@00003F07 0000D001 01002850 00005318 0408F8B0 00005501 8024B410 00005501 +@00003F0E 88A0C810 00005309 F8A1A1F0 00005409 00A0E102 00000008 0202A421 +@00003F15 00000218 78142631 00000000 060028D0 00000A18 70188530 00000218 +@00003F1C 066C00F0 00001B00 D0A83010 00000008 0302A401 00006901 0114C850 +@00003F23 00006909 F8496250 00006901 0448B490 00000008 0000A702 00004D18 +@00003F2A 0608F8F0 00007809 F56DA341 00000008 AD0027E0 00001A02 13022850 +@00003F31 00004D18 0608F8F0 00000208 006CA302 00005201 88002810 00000008 +@00003F38 0202A421 00000000 564A1890 00000000 564E18D0 00000000 57261810 +@00003F3F 00000000 01A81850 00006901 88A0C810 00000008 0202A421 00005001 +@00003F46 061430D0 00000218 066C54D0 00000208 FB6D2370 00000000 01A81890 +@00003F4D 00005D00 16022810 00005618 020845B3 00001B02 0228C890 00000008 +@00003F54 C001A791 00000218 76282EF1 00006519 004EC012 00008E01 766CC8D0 +@00003F5B 00004E00 00022810 00008E01 766CC8D0 00004F00 00022810 00008E01 +@00003F62 766CC8D0 00006519 00165410 00007001 0228C890 00006519 02288090 +@00003F69 00005708 FB296370 00000000 152A1890 00007001 0228C890 00006519 +@00003F70 152A80D0 00005001 0114B450 00005001 5416C810 00000218 78141510 +@00003F77 00000518 01088B72 00005101 0118B490 00005101 541AC850 00000218 +@00003F7E 78181510 00000618 01088BB2 00005518 0608F8F0 00000208 FC6DA330 +@00003F85 00000218 206E7C52 00008100 066CB0D0 00000208 FC156160 00000218 +@00003F8C 2016A273 00008100 200AB450 00008100 066CC8D0 00006519 156EE052 +@00003F93 00005418 0608F8F0 00000208 FC6DA3C0 00000218 206E7C52 00008100 +@00003F9A 066CB0D0 00000208 FC1961F0 00000218 201AA273 00008100 200AB450 +@00003FA1 00008100 066CC8D0 00006519 156EE012 00000600 061438D0 00000208 +@00003FA8 FF6DA3B0 00001C02 04002890 00008D01 0448C8D0 00000218 78482631 +@00003FAF 00000018 06085DF0 00005508 FD6DE2A0 00001308 FD492150 00007701 +@00003FB6 0448C890 00000218 78482631 00000100 04002890 00000218 78480910 +@00003FBD 00001218 0408F8B0 00000008 0A012751 00000000 00141B10 00004D01 +@00003FC4 069CC8D0 00000218 536E2051 00001B18 066CB6D2 00000208 FE6DA370 +@00003FCB 00004F00 066CC8D0 00006519 136EE0D2 00005D00 16022810 0000EF01 +@00003FD2 04002890 00008D01 0448C8D0 00000218 78482631 00000018 06085DF0 +@00003FD9 00005408 FE6DE2E0 00001308 FE492190 00007701 0448C890 00000218 +@00003FE0 78482631 00000100 04002890 00000218 78480910 00001218 0408F8B0 +@00003FE7 00000008 0A012751 00000000 00181B10 00004C01 069CC8D0 00000218 +@00003FEE 536E2011 00001B18 066CB6D2 00000208 FF6DA3B0 00004E00 066CC8D0 +@00003FF5 00006519 136EE092 00005D00 16022810 00004D18 0208F8B0 00007709 +@00003FFC 002AA1B0 00004E01 01002850 00004E00 04002890 00005000 0448B490 +@00004003 00000218 534AE092 00004F01 01002890 00004F00 040028D0 00005100 +@0000400A 044CB4D0 00000218 534EE0D2 00006519 130E2070 00007809 002AE3A0 +@00004011 00004E09 0016E390 00004F09 001AA3B0 00000008 F501A761 00000008 +@00004018 F501A741 00005A01 04002890 00005B05 040028D0 00005C01 02002850 +@0000401F 00000008 0202A511 00000008 0002A5E0 00000008 00ACC602 00000218 +@00004026 065872D0 00000208 0F6CE3B1 0000C801 10AC1010 00003300 060028D0 +@0000402D 00005C08 016EE3F0 00001618 050812B0 00004118 06085DF0 00002608 +@00004034 0F6CA3B1 00002101 48022810 00000008 65022791 00007701 060028D0 +@0000403B 00005C00 44022810 00000008 760227F0 00004100 01002850 0000161C +@00004042 050C12B0 00000000 00000010 00000008 3E02E420 00000000 C0A01810 +@00004049 00000030 11A46C00 00001618 05080DB0 00009000 88002810 00000004 +@00004050 00000010 00000008 0202A421 00000008 0202A5F1 00000008 0202A580 +@00004057 00000008 0102A700 00000008 03022710 00005D00 25022850 00000008 +@0000405E 03022710 00000000 250E1850 00000008 3E02E420 00005C00 25022850 +@00004065 00000000 24AA1850 00000000 C0A01810 00000030 11A46C00 00001618 +@0000406C 050C0DB0 00009100 C0002810 00009000 90002810 00000004 00000010 +@00004073 00000008 0302A411 00006C00 1B0ACC10 00000008 070227A1 00000000 +@0000407A 24AA1810 00000218 06A488D0 00009D09 096EA301 00009018 0108F870 +@00004081 00001D0A 04166160 00001E02 C0002810 00000008 26022791 00006519 +@00004088 0114E052 00005C08 0F28A3B1 0000EF00 8828C810 00000008 0F00A4B1 +@0000408F 00001F1A 80A8AE20 00009000 D8002810 00000008 0702A7D0 00000008 +@00004096 065A9310 00000A01 0088B450 00006901 0004C850 00000218 00042051 +@0000409D 00000901 2506C810 00000218 00A0E052 00000909 0506A170 00009408 +@000040A4 0506E270 00006100 180ACC50 00000901 0004B450 00000000 248E18D0 +@000040AB 00000A01 5804C810 00000004 C0941810 00009300 58002810 00006300 +@000040B2 180ACCD0 00000218 00A4E052 00002002 98043810 00000000 88A81810 +@000040B9 00000008 0F00A4B1 00000000 24AA1850 00000000 C0A01810 00006A19 +@000040C0 9804E032 00000004 80A81810 00009100 C0002810 00000A01 0088B450 +@000040C7 00006901 0004C850 00000218 00042051 00000901 2506C810 00000218 +@000040CE 00A0E052 00000909 0606A1F0 00009408 0606E2F0 00006200 180ACC90 +@000040D5 00000901 0004B450 00000000 248E18D0 00000A01 5804C810 00009100 +@000040DC C0002810 00000004 50A81810 00009300 58002810 00006300 180ACCD0 +@000040E3 00000218 00A4E052 00002002 98043810 00000000 80A81810 00009100 +@000040EA D0002810 00000008 0F00A4B1 00000000 C0A01810 00006A19 9804E032 +@000040F1 00000004 80A81810 00009100 C0002810 00000000 24A21810 00000000 +@000040F8 241618D0 00000004 251A1810 00000000 242A1890 00009300 01002850 +@000040FF 00009404 01002890 00009200 02002890 00009000 35022850 00009100 +@00004106 35022890 00009200 350228D0 00009300 36022810 00009400 36022850 +@0000410D 00009500 37022810 00000004 364A1890 00000000 364E18D0 0000DB00 +@00004114 040028D0 0000DA00 04002890 0000DC00 25022850 0000D900 25022810 +@0000411B 0000D800 240228D0 0000D700 24022890 0000D604 24022850 0000D500 +@00004122 24022810 00005F00 170ACCD0 00004A01 02002890 00000218 C1A84D61 +@00004129 0000C819 98289B30 00008A01 0028C850 0000E800 8804C810 00000008 +@00004130 0F00A4B1 00000208 09AAA1A0 0000C819 980C9B30 0000E800 8004C810 +@00004137 00000000 D0141810 00000008 0F00A4B1 00000000 02781890 00006A01 +@0000413E 98002810 00006B01 C114C850 00006909 092A62D0 00006901 0228B490 +@00004145 00009000 C0002810 00004A01 520ACF90 00009D0D 009CA102 00004B01 +@0000414C 52022890 00000008 07022791 00000218 06A488D0 00009D09 096EA301 +@00004153 00006000 180ACC10 00009018 0208F8A0 00001D0A 0B2AA100 0000DE09 +@0000415A 0B2AA1B0 00006A19 0228B891 00002102 01002850 00000008 070227D0 +@00004161 00000A18 98142E31 00000008 1202A791 00000030 10A86000 00000218 +@00004168 00587250 00000208 0B06E390 00006519 00A0E052 00002F08 0B06A190 +@0000416F 00003008 0B06E290 00000030 0F587580 00009100 48002810 00000008 +@00004176 045AD3A0 00000008 0602A710 00000008 0C5A1310 00000000 00B01810 +@0000417D 00000218 78282631 00000000 C0002810 00000008 070227D0 00009000 +@00004184 80002810 00005D00 01002850 00009508 0E16E3B0 00005C00 01002850 +@0000418B 00005A08 0E16A3B0 00009018 8008AA32 00008B09 0EA2E1B0 00000008 +@00004192 0702A7D1 00009100 48002810 00009000 48002810 00005A00 88A0CC10 +@00004199 00000008 0202A511 00001030 48AAC902 00000218 C0A88013 00005C08 +@000041A0 0DAAA350 00000000 80A81810 00000218 06D420D1 00000218 786C2631 +@000041A7 00000000 D0002810 00000008 0202A5F1 00000030 40AAC901 00000000 +@000041AE 80D01810 00000000 C0D41810 00000000 48A01810 00000218 06A888D0 +@000041B5 0000A409 0D6EA3B0 00005C00 C0002810 00000218 80A02011 00005A00 +@000041BC 90A0CC10 00000008 0202A5F1 00005C08 0EAAA380 00000000 88A81810 +@000041C3 00000008 0202A511 00001030 4802C902 00000008 07022791 00000000 +@000041CA 24AA1850 00000008 0E0227B1 00000000 24D21810 00000000 C0D01810 +@000041D1 00000008 0000A702 00000000 24D21810 00000000 24D21850 00000008 +@000041D8 0702A7A1 00009018 0208AAB2 00008A09 0F2AA120 00000218 70282E31 +@000041DF 00009100 00022810 00009100 C0002810 00000008 070227D0 00009000 +@000041E6 80002810 00000218 70288E30 00009100 C0002810 00000008 070227D0 +@000041ED 00009000 80002810 00000008 0F58D3B1 00009508 0F0EA3A0 00003C18 +@000041F4 06085DF0 00005C08 0F6CA3C1 00000008 0702A791 0000201A 98A4A012 +@000041FB 00009000 80002810 00009100 D0002810 00000008 0F00A4B1 00000000 +@00004202 C0A01810 0000221A 98A4A012 00000008 12022791 00009000 02002890 +@00004209 00000008 0FA8DAB1 00008A01 D0A83810 00000008 0F00A4B1 00000008 +@00004210 0702A7D1 00009004 80002810 00009100 C0002810 00000008 0F58D3B1 +@00004217 00000008 0702A791 00000008 12022791 00009000 02002890 00000008 +@0000421E 0FA8DAB1 00008A01 D0A83810 00000008 0F00A4B1 00006901 88A0C810 +@00004225 00000008 0F00A4B1 00009300 01002850 00007001 02002890 00000000 +@0000422C 01A81890 00000618 D22854B0 00000008 0F00A4B1 00009400 01002890 +@00004233 00009000 C0002810 00006A1D 9828E012 00009200 02002890 00007B00 +@0000423A 1E0ACCD0 00006919 D0A88323 00000008 0F00A4B1 00009000 C0002810 +@00004241 00000218 02A48890 00009D09 0F28A3B1 00006901 88A0C810 00000008 +@00004248 0F00A4B1 00009300 01002850 00009400 01002890 00000030 0DA86C00 +@0000424F 00006A19 80A8E012 00009004 D8002810 00009200 02002890 00000000 +@00004256 202A18D0 00000008 1302A771 0000EB00 8828C810 00000008 0F00A4B1 +@0000425D 00007701 0228C890 0000BA08 132AA100 0000BA00 0228B490 00000008 +@00004264 00A8DA02 00008300 01A83050 0000021C 0114F891 00000208 121AE3B1 +@0000426B 00000008 13022771 00000218 02D0E092 0000651D 0228E092 00000218 +@00004272 202A3251 00000218 02287C92 00009E01 02281090 00008100 02283090 +@00004279 0000B900 02280890 0000BA0C 0028A102 0000BA00 0228B490 00000008 +@00004280 0F5892B1 00009018 340AF830 00002302 35022810 00006901 330228D0 +@00004287 00000008 155A97A0 00004300 060028D0 00000008 156EDDA0 0000D000 +@0000428E 48002810 00000008 2602A781 00005C08 0F28A3B1 00000000 352A18D0 +@00004295 0000EF00 8828C810 00000008 0F00A4B1 0000D000 36022810 00000218 +@0000429C 36AA8090 0000DA08 150AE1A0 00006B01 01002850 0000D800 3616C810 +@000042A3 0000D800 48002810 00000008 2602A781 0000D708 152AE3A0 00000008 +@000042AA 12022791 0000D800 02002890 00000008 15AA9AA0 00008000 200ACC10 +@000042B1 0000CF00 330ACCD0 00000008 140227D0 0000DA00 360EC890 00000008 +@000042B8 150227D0 00002402 68002810 00002502 68002810 0000BB00 01002890 +@000042BF 0000EC00 8818C810 0000BD08 16A2E1A1 00000008 0F00A4B1 00006901 +@000042C6 0118C890 0000BB08 161AA3C0 00000218 06A8E0D1 0000EB00 8A6CC890 +@000042CD 0000260A 156E23E0 00007400 1D0ACC10 00000008 0F00A4B1 00000030 +@000042D4 0FA86000 0000EC00 8818C810 00000204 01002890 0000EC00 8818C810 +@000042DB 00007500 1D0ACC50 00000000 02481890 00000008 24022761 0000BB00 +@000042E2 04002890 00000008 150227E0 00000000 04281890 00000008 175AD180 +@000042E9 00000218 201AA871 00003D18 0608F8F0 00008108 176EA280 00000008 +@000042F0 150227F0 0000EC00 8818C810 00000008 18022700 00007C00 1F0ACC10 +@000042F7 00000008 185AD100 00000218 201AA871 00003D18 0608F8F0 00008108 +@000042FE 186EA200 00000008 150227F0 0000EC00 8818C810 00000000 2E1A18D0 +@00004305 00006901 0118B490 00000008 0FA8DAB1 00000000 01A81850 00001209 +@0000430C 2E0AE301 00000008 2C768051 00006901 8828C810 00000008 0F00A4B1 +@00004313 00000008 18169BA0 00000030 0EA86C00 00000000 48281810 00002702 +@0000431A 340228D0 00006500 190ACC50 00006919 C018A831 0000D300 90002810 +@00004321 00000008 0F00A4B1 0000D300 340ACCD0 00000000 36161810 00000000 +@00004328 361A1850 00004300 060028D0 00000008 1B6EDE70 00000000 36161890 +@0000432F 00006B01 02002890 0000DA00 362AC890 00000008 12022791 0000DA00 +@00004336 02002890 00000008 1BAADA70 00000000 02A01890 00000000 01A81850 +@0000433D 00006901 8828C810 00000008 0F00A4B1 00000000 01A81890 00000008 +@00004344 1A169B20 00000030 0D186C80 00008A01 C1141050 00000000 90281810 +@0000434B 00000008 0F00A4B1 00000218 06184CD0 00007009 1A6EE3F0 00007801 +@00004352 060028D0 00000618 C16C54B0 00006901 9028C810 00000008 0F00A4B1 +@00004359 00000000 C0141810 00000218 06A44CD0 00007709 1A6EA1F0 00006A19 +@00004360 9818E012 00007F00 1F0ACCD0 00006500 190ACC50 00006919 C018A831 +@00004367 0000D300 90002810 00000008 0F00A4B1 0000D300 340ACCD0 0000D300 +@0000436E 060028D0 0000280A 196EA160 0000D300 880CC810 00000008 0F00A4B1 +@00004375 00007800 1E0ACC10 00006901 D0A8B410 00000008 0F00A4B1 0000D800 +@0000437C 01002850 0000D900 01002890 00000000 481C1810 00002702 010028D0 +@00004383 00000008 300227A1 0000A401 02002890 00000000 01D018D0 00007900 +@0000438A 1E0ACC50 00000000 02D01890 00006519 4818E012 00000000 C00C1810 +@00004391 0000EC00 9018C810 00000008 0F00A4B1 00000000 01281890 0000EB18 +@00004398 0008F870 0000BA00 0004C850 00006501 C0002810 00000218 9118E092 +@0000439F 00000008 0F00A4B1 00007701 88A0C810 00000108 1FA2E120 00000008 +@000043A6 0F00A4B1 00000008 1FAADA40 00000318 02A8E8A1 00000308 1D2A23A0 +@000043AD 00000000 02181890 00000008 13022771 00000000 02A81890 0000EB00 +@000043B4 0228C890 00000218 0228E092 00000A08 1EA2A1F0 00000608 1C2AE2F0 +@000043BB 00000608 1CA2A1F0 00000000 48A81810 00006901 88A0C810 00000008 +@000043C2 0F00A4B1 00006901 02A0B490 00000000 C0A81810 00006901 9018C810 +@000043C9 00000008 0F00A4B1 00000218 06A8A0D1 0000EC00 886CC810 00000008 +@000043D0 0F00A4B1 0000EB00 0618B4D0 00001B18 D0A8F021 00000008 0F00A4B1 +@000043D7 00000000 80181810 00000000 D0D01810 00000008 0F00A4B1 00000008 +@000043DE 1C0227C0 00000000 01281890 00000608 1D2AA2D0 00000608 1DA2E1D0 +@000043E5 00000008 1C02A7F0 00000008 1D022710 0000EB18 8808F830 00000000 +@000043EC 01D01890 00000004 C0141810 00006A01 98002810 00006919 C018A831 +@000043F3 0000D400 90002810 00000008 0F00A4B1 0000D400 350ACC10 00006400 +@000043FA 190ACC10 0000CF00 330EC8D0 0000CF08 150AE3A0 0000D400 880CC810 +@00004401 00000008 0F00A4B1 00006901 D0A8B410 00000008 0F00A4B1 00000008 +@00004408 2102A7A1 00000008 235A9790 00000000 481C1810 00002302 010028D0 +@0000440F 00000008 300227A1 00000200 02002890 00000000 01D018D0 00002302 +@00004416 01002890 00002902 2F022890 00007700 1D0ACCD0 00001209 2E0AE331 +@0000441D 00000008 2C768081 00000000 88181810 00000008 0F00A4B1 00000218 +@00004424 34AAB851 00000000 48181810 00000008 2202A7A1 00000000 01D01890 +@0000442B 00006B01 01002850 0000D000 3416C810 00000200 0118CC90 0000D408 +@00004432 201A21C0 00002A02 2F022890 00000008 0702A7D0 0000D000 48002810 +@00004439 00000008 2602A781 00005C08 0F28A3B1 0000EF00 8828C810 00000008 +@00004440 0F00A4B1 0000D018 0108F870 00006519 06A82EE1 00000000 346E1890 +@00004447 00002F00 02002890 00000218 0228E8A1 00000A08 0014A102 00003000 +@0000444E 02002890 00008719 0228E8A1 00000A08 0014E202 00007C05 02002890 +@00004455 00001B18 342A74B0 00000008 12022791 0000D000 02002890 00000008 +@0000445C 0FA89AB1 0000D000 01002850 0000BE18 D014E821 00000008 0F00A4B1 +@00004463 0000D100 00002850 0000D218 C004A021 00000030 0DA86C00 00006901 +@0000446A 90A0C810 00000008 0F00A4B1 0000EB00 C0A0B010 0000D100 80002810 +@00004471 0000EC04 90A0C810 00000008 0F00A4B1 00000200 C0002810 00000000 +@00004478 02A41890 0000201A 9818A031 00000200 80002810 0000D018 200AE060 +@0000447F 00008100 D0A0C810 00000008 0F00A4B1 00008709 23A221E0 00006901 +@00004486 80A0C810 00006700 190ACCD0 00000200 C0002810 00000008 20022790 +@0000448D 00006A19 9828E012 0000BB00 2F022810 0000EC00 8848C810 0000BD08 +@00004494 25A2E111 00000008 0F00A4B1 00006901 0448C890 0000BB08 0048A302 +@0000449B 00000218 06A8E0D1 0000260A 246EA370 0000EB00 886CC810 00000008 +@000044A2 0F00A4B1 00000030 0CA86080 00000204 04002890 0000EC00 8848C810 +@000044A9 00006800 1A0ACC10 00007019 D0A84523 00000008 0F00A4B1 00000008 +@000044B0 24022770 00006A01 98002810 00000218 06A83DD0 00007208 256EE1D0 +@000044B7 00009C01 D0A8C810 00000008 0F00A4B1 00000008 2402A770 00006900 +@000044BE 1A0ACC50 00007719 D0A88323 00000008 0F00A4B1 00000008 2402A770 +@000044C5 00000008 2602A781 00005C08 0028A302 0000F300 8828C810 00000008 +@000044CC 0202A511 00000008 26AA4930 00006519 02A8C092 00000008 0000A702 +@000044D3 00006519 01D0E052 0000F018 8814F010 00000008 0F00A4B1 00000218 +@000044DA 061432D0 00000218 066C23F0 00001B00 7078B410 00006519 02A8E090 +@000044E1 00006509 0028E302 00000609 AD14E1E0 00002B02 02002890 00000218 +@000044E8 78282631 00000008 27162120 00006901 0228B490 00002C06 0228B490 +@000044EF 00006519 0228E092 00000218 02D08053 00000218 02D08013 00000008 +@000044F6 12022791 00000218 02D4E092 00000008 28AA5AA0 00005C00 02002890 +@000044FD 00005D00 02002890 00005C08 2822A340 00000008 2822C120 00008A01 +@00004504 20AA1090 00008218 C00CE831 00000818 D0A88323 00000008 0F00A4B1 +@0000450B 00005C08 2826A3A0 00006901 88A0C810 00000008 0F00A4B1 00000000 +@00004512 20AA1890 00008218 D0242E31 00000008 0F00A4B1 00000000 C0D01810 +@00004519 00006A01 98002810 00000008 00002702 00005C00 80002810 0000EB00 +@00004520 88002810 00000008 0F00A4B1 0000EB00 06A0B4D0 0000BA08 0F6CE1B1 +@00004527 00000008 28AA1AF0 00007701 88A0C810 00000000 00000010 00007701 +@0000452E 80A0B410 00002D1A D00CE831 00000008 0F00A4B1 00000218 02D0B891 +@00004535 0000EB00 C0A0B410 0000EC00 9028C810 00000008 0F00A4B1 0000BD08 +@0000453C 29A2A1F0 0000ED08 0FA0E1B1 00006901 2FA2C850 0000EB00 80A8CC10 +@00004543 00000008 4D002760 00002E02 D0283810 00000218 01D0B891 0000EC00 +@0000454A 8818C810 00000008 0F00A4B1 0000BD08 0FA0E1B1 00000218 06A8E0D1 +@00004551 0000260A AD6CA3E0 0000EB00 8A6CC890 00000008 0F00A4B1 00000008 +@00004558 1802A721 00005D04 02002890 00005C00 80002810 00000218 34D2E831 +@0000455F 00000008 12022791 0000D000 02002890 00000008 ADA81AE0 00000218 +@00004566 34D2E052 00000008 2102A7A1 00000008 220227A1 00002902 2F022890 +@0000456D 00000008 2A02A7B0 00000008 12022791 00000000 02D01890 00000008 +@00004574 ADA8DAE0 00000008 00A81B02 00006519 020C00B0 00006901 88A0C810 +@0000457B 00000008 0F00A4B1 00000218 06A84CD0 0000700D 006CE102 00006901 +@00004582 0228B490 00000000 88D01810 00000008 0202A421 00000000 C0A01810 +@00004589 0000651D 02A4A8B1 00000A18 02A8E090 00007701 46022810 00000008 +@00004590 2C0227A0 00000218 0614E8E1 00006901 46022810 0000D000 060028D0 +@00004597 00000008 08022701 00007701 450228D0 00000218 20B24052 00000218 +@0000459E 20B24092 00000218 20B240D2 00000218 0484A091 00008300 68002810 +@000045A5 00008200 68002810 00008100 68002810 00000218 045851D0 00001218 +@000045AC 484C5C30 00000008 BC0127B1 00000000 486C1810 00000008 0802A780 +@000045B3 00000218 02D08093 00005C08 00282302 00000000 44020090 00000008 +@000045BA C0002791 00000000 01281850 00000000 44261890 00000004 442618D0 +@000045C1 00000F00 4526C810 00008301 45022890 00000008 2E022750 00000218 +@000045C8 4516E861 0000D000 45022850 00000200 45022890 00000218 20B24052 +@000045CF 00000218 20B24092 00000218 20B240D2 00001619 4586A091 00008300 +@000045D6 68002810 00008200 68002810 00008100 68002810 00000008 0802A701 +@000045DD 00000000 368E1890 00000000 365A18D0 00001618 050C0EB0 00001602 +@000045E4 88002810 00000008 0202A421 00000000 20AA1850 00006901 88A0C810 +@000045EB 00000008 0F00A4B1 00008118 C0A8F031 00001201 90002810 00000008 +@000045F2 0302A401 00001501 C0002810 00006901 90A0C810 00000008 0302A401 +@000045F9 00000218 065851D0 00001619 C06C5C30 00006901 90A0C810 00000008 +@00004600 0302A401 00000000 58441810 00000000 C0941810 00006901 90A0C810 +@00004607 00000008 0302A401 00006901 80A0C810 00001409 30A2A160 00001301 +@0000460E 80002810 00000008 08022781 00000000 44A21890 0000DB04 05002890 +@00004615 0000DA00 58002810 00000008 08022701 00000000 330A1850 00000218 +@0000461C 0414F891 0000C108 0F48E1B1 0000C000 0448C890 00000008 3202A771 +@00004623 00000000 C0000010 00007701 92A0C890 00000008 0202A5F1 00000008 +@0000462A C001A791 0000BC00 04002890 0000BB08 244AE361 00000008 3502A7D1 +@00004631 00000008 C0012791 00000000 044C1890 00001200 044CB4D0 00007E00 +@00004638 1F4EC890 00000218 C00CE012 00000000 90281810 00000008 0202A5F1 +@0000463F 00000008 0802A780 00000218 0414F891 0000C000 0448C890 00000008 +@00004646 0C012781 00003818 0408F8F0 00000218 0448E8B1 00000218 044CE8F1 +@0000464D 00001204 3716B450 0000DD00 374EC890 00000008 3502A7D1 00000000 +@00004654 302A18D0 00000000 311E1850 00000000 320A18D0 00000008 0C012781 +@0000465B 00003818 0408F8F0 00000218 319E70B1 00000008 0C012781 00003718 +@00004662 0408F8F0 0000C618 319EE8B0 0000C618 314AE0B0 00000008 340227C0 +@00004669 00000200 33022890 00006901 040028D0 00002F02 010028D0 00000008 +@00004670 3502A7D1 00000000 302A18D0 00000000 311E1850 00000000 320A18D0 +@00004677 00000000 024C1890 00000008 0C012781 00003818 0408F8F0 00000218 +@0000467E 319E70B1 00000008 0C012781 00003718 0408F8F0 0000C618 319EE8B0 +@00004685 0000C618 314AE0B0 0000C500 800CC810 00006919 C018A831 00006909 +@0000468C 342AE260 00006901 C0A8B410 00006901 90A0C810 00000008 0302A401 +@00004693 00006901 0228B490 00000208 342A6240 00006B01 C0A8C810 00000200 +@0000469A 33022890 0000C300 31022810 00000000 330A1810 0000C418 C00C0B30 +@000046A1 0000BF00 90002810 00000008 0302A401 0000C500 C0002810 00006901 +@000046A8 90A0C810 00000008 0302A401 0000C600 C0002810 00006901 90A0C810 +@000046AF 00000008 0302A401 00000000 300E1890 00006901 90A0C810 00000008 +@000046B6 0302A401 00000218 C00CC032 00003504 90002810 00000008 0302A401 +@000046BD 0000C208 0008A302 00000008 0202A511 00000008 3502A7D0 00000008 +@000046C4 0FA8C0B1 00000000 31AA18D0 00003002 01A80850 00006901 88A0C810 +@000046CB 00000008 0202A421 00000000 32AA1810 00006901 88A0C810 00000008 +@000046D2 0202A421 00000000 32AA1850 00006901 88A0C810 00000008 0202A421 +@000046D9 00000000 32AA1890 0000CC08 370AE3B0 00000208 3816E350 0000C318 +@000046E0 7008C133 00000218 060C00F0 00004300 066C08D0 00009C01 01002890 +@000046E7 00000000 33027810 00000208 346E63E0 0000C418 311A6030 00000000 +@000046EE C0081810 00003500 90002810 00000008 0302A401 00000000 300A1890 +@000046F5 0000BF04 90002810 00000008 0302A401 00000000 330A1810 00000208 +@000046FC 3816E300 0000C700 060028D0 00000008 376ECA50 00006E00 1B0ACC90 +@00004703 0000C308 380AA340 00000008 3A02A7B1 00000008 340227C0 00006A00 +@0000470A 1A0ACC90 00007600 1D0ACC90 00006600 190ACC90 00000008 3A02A7B1 +@00004711 00003102 061408D0 00000208 3A6EE390 00003202 061408D0 00000208 +@00004718 396EE340 0000A409 391AA340 0000C800 060028D0 00000030 0C6C4A40 +@0000471F 0000C400 060028D0 00000008 396E9A40 00000008 346E59E0 0000C418 +@00004726 310E0730 00000008 340227E0 0000C101 316EB410 00000008 3916D2A0 +@0000472D 00002E00 88002810 00000008 0202A421 00000000 06A818D0 00001B18 +@00004734 D0082420 00000008 0302A401 0000CB00 320ACFD0 00009A09 3A9EE290 +@0000473B 00000008 399EC0E1 00000008 3402A7C0 00003302 C0002810 0000BF00 +@00004742 90002810 00000008 0302A401 00006B00 1A0ACCD0 00007801 90A0C810 +@00004749 00000008 0302A401 0000BF00 88002810 00000008 0202A421 00000008 +@00004750 3AAAC040 00000008 3AAA9840 00000008 0000A702 0000CE08 0F08A3B1 +@00004757 00000008 3702A750 0000C500 060028D0 0000C418 C06CF031 00007D00 +@0000475E 90002810 00000008 0F00A4B1 0000C900 C0002810 00006901 90A0C810 +@00004765 00000008 0F00A4B1 0000C700 C0002810 00006901 90A0C810 00000008 +@0000476C 0F00A4B1 0000C800 C0002810 00006901 90A0C810 00000008 0F00A4B1 +@00004773 0000340A 00A02102 00006901 1FA2C850 00003506 1F022850 00000000 +@0000477A 00000010 00000008 C000A781 00000000 330A1850 00000000 33027890 +@00004781 00000008 3502A7D1 00006901 8824C810 00000008 0202A511 00000000 +@00004788 31AA1810 00000218 30AA60D0 00006901 88A0C810 00000008 0202A511 +@0000478F 00000000 31AA1850 00006901 88A0C810 00000008 0202A511 00000000 +@00004796 31AA1890 00000000 320A18D0 00000000 330A1810 00000008 340227F1 +@0000479D 0000C400 C4002890 0000BF00 88002810 00000008 0202A511 00000008 +@000047A4 3CAAC0E0 00000008 354A95D1 00000008 374AD551 00007801 0424C8D0 +@000047AB 0000BF00 040CC890 00000200 05002810 00006901 8C48C890 00000008 +@000047B2 0202A511 00006901 0550C810 00006901 944CC8D0 00000008 0202A5F1 +@000047B9 00007009 3D52A160 0000CB18 C00C0F32 00000200 9024C810 00000008 +@000047C0 0202A5F1 0000CE08 0F08A3B1 00005C04 02002890 00000000 330A1890 +@000047C7 00000008 0F5892B1 00000000 22A21890 00000000 22AA18D0 00001618 +@000047CE 050C0EB0 00007301 88002810 00000008 0202A421 00000000 23161810 +@000047D5 00000000 231A1850 00000000 232A1890 00000218 23AA1FD0 00008F08 +@000047DC 450AA320 00000008 46AAD180 00000218 01A8E2A0 0000360A 471AA3D0 +@000047E3 00006B09 541AA300 0000370A 501AA350 0000380A 521AA3B0 00008C01 +@000047EA 01002850 00000300 8814C810 00000008 0202A421 00000218 C0A8E012 +@000047F1 00000208 0FA8A3B1 00007701 89A8C850 00000008 0202A421 00000608 +@000047F8 3FAAE340 00007701 8814C810 00000008 0202A421 00000000 22AA1810 +@000047FF 00006901 8814C810 00000008 0202A421 00000000 88A81810 00000008 +@00004806 0202A421 00008800 06A808D0 00000208 3F6EA340 00007C01 8814C810 +@0000480D 00000008 0202A421 00000000 01A81890 00007801 8814C810 00000008 +@00004814 0202A421 00008800 060028D0 00000008 436E9040 00000008 41AAC330 +@0000481B 00006901 88A8C810 00000008 0202A421 00000218 22AAF031 00006901 +@00004822 88A0B410 00000008 0202A421 00000008 41022750 00008818 C0A8E011 +@00004829 00000000 88A81810 00000008 0202A421 00000000 90181810 00000008 +@00004830 0302A401 00009A01 8814C810 00000008 0202A421 00006901 0118C890 +@00004837 00000008 41AAC3E0 00000008 41725FE0 00000218 C0A8E012 0000C801 +@0000483E 10AC3810 00000608 42AAE220 00007001 8814C810 00000008 0202A421 +@00004845 00000000 01A81890 00009F01 8814C810 00000008 0202A421 00000608 +@0000484C 42AAA380 00000000 C0181810 00007C01 9014C810 00000008 0302A401 +@00004853 00000200 C0002810 00003902 90002810 00000008 0302A401 00007201 +@0000485A C0002810 00007301 90002810 00000008 0302A401 00001618 05080EB0 +@00004861 00008B00 C0002810 00008A00 80002810 00008E00 02002890 00008D04 +@00004868 01002890 00008C00 01002850 00000000 22AA1810 00009F01 8814C810 +@0000486F 00000008 0202A421 00000000 88A81810 00000008 0202A421 00000608 +@00004876 44A2A390 00000000 01A01890 00000000 C0A81810 00008800 90002810 +@0000487D 00000008 0302A401 00009A01 8814C810 00000008 0202A421 00006901 +@00004884 0118C890 00000608 44AAE250 00007001 8814C810 00000008 0202A421 +@0000488B 00000000 01A81890 00000000 C0181810 00009F01 9014C810 00000008 +@00004892 0302A401 00000008 4202A780 00009601 8814C810 00000008 0202A421 +@00004899 00000000 22AA1810 0000A401 8814C810 00000008 0202A421 00000000 +@000048A0 80A81810 00008800 D0002810 00000008 0302A401 00000008 4202A780 +@000048A7 00002E00 89002890 00000008 0202A421 00000008 45AADC60 00000008 +@000048AE 47AA91D0 00000008 45AADB80 00000008 47AA99D0 00008C01 01002850 +@000048B5 00000300 8814C810 00000008 0202A421 00000218 C0A8E012 00000208 +@000048BC 46AAA380 00007701 01A8C850 00007701 8814C810 00000008 0202A421 +@000048C3 00000000 22AA1810 00006901 8814C810 00000008 0202A421 00000000 +@000048CA 88A81810 00000008 0202A421 00008800 06A808D0 00000208 406EE340 +@000048D1 00000008 4502A790 0000E600 88002810 00000008 0202A421 00000008 +@000048D8 47AADC80 00006F01 D0A81010 00000008 0302A401 0000A408 470AA200 +@000048DF 00000008 4B022711 00006901 29022810 0000C208 470AE320 0000CD00 +@000048E6 330ACC50 00000008 F901A771 0000E508 470A2180 0000E500 390EC850 +@000048ED 00000008 47725D80 00007100 390EC850 0000C801 10AC3810 0000C208 +@000048F4 470AA3C0 0000BF00 88002810 00000008 0202A421 00000008 36AA9D01 +@000048FB 00000008 4202A7E0 00003A02 68002810 00002E00 89002890 00000008 +@00004902 0202A421 00000008 4CAAD130 00006519 22AAE052 00000008 4F022741 +@00004909 00003B02 88002810 00005C08 4C16A330 00008900 C0002810 00007001 +@00004910 9014B410 00000008 0302A401 00007801 8818C810 00000008 0202A421 +@00004917 00006501 C6A8CCD0 00000218 226EFC10 00007C01 9014B410 00000008 +@0000491E 0302A401 00008818 C0A8F820 00007701 9014B410 00000008 0302A401 +@00004925 00006901 22022850 00007701 8818C810 00000008 0202A421 00008800 +@0000492C 220EC810 00000000 06A818D0 00008808 4A0AA310 00000000 88A01810 +@00004933 00000008 0F00A4B1 00008800 220EC810 0000C208 490A63E0 00006F01 +@0000493A 02002890 00008F08 490AA3E0 00000000 02081890 00001B18 C0A8F031 +@00004941 00000208 492A63F0 00006901 0228B490 00008900 9014C810 00000008 +@00004948 0302A401 00008808 490A2120 00008900 220ACC50 00000000 88181810 +@0000494F 00000008 0202A421 00006519 C0A8E012 00009A01 9014B410 00000008 +@00004956 0302A401 00009C01 C0A83810 00000000 90181810 00000008 0302A401 +@0000495D 00000008 4F0227D1 00003C02 88002810 00000008 4B72DE10 0000C801 +@00004964 10AC3810 00000000 01000050 00003B02 88002810 00000008 0202A421 +@0000496B 00000218 06A880D3 00005C08 4B6EA370 00000518 010C0470 0000A408 +@00004972 4B0AE2D0 00003D02 88002810 00000008 0202A421 00000218 06A880D3 +@00004979 00005C08 4B6EA3D0 00000518 010C0570 00002E00 88002810 00000008 +@00004980 0202A421 00000000 06A818D0 00001B18 D0142420 00000008 0302A401 +@00004987 00000008 AD00A7E0 00000000 88181810 00000008 0202A421 00000008 +@0000498E 4BAAD910 0000A308 4C0AA3E0 0000A408 4C0AE3E0 00000008 4EAADAE0 +@00004995 00006D00 1B0ACC50 0000A300 280EC8D0 0000A308 4E0AA3E0 00000008 +@0000499C 4B022710 00000000 29027810 00003D02 88002810 00000008 0202A421 +@000049A3 00000000 29020010 00000218 01A88053 00005C08 4B16A310 0000A308 +@000049AA 4D0AE350 00007801 280228D0 00007701 8814B410 00000008 0202A421 +@000049B1 00006901 22022850 00000218 22AAE012 00008900 8814C810 00000008 +@000049B8 0202A421 00008800 220EC810 0000C208 4E0A6300 00006F01 02002890 +@000049BF 00008F08 4E0AA300 00000000 02081890 00000000 C0A81810 00000208 +@000049C6 4E2A6310 00006901 0228B490 00006901 9018C810 00000008 0302A401 +@000049CD 00008808 4E0AA3B0 00000218 D0A8F011 00000008 0302A401 00008800 +@000049D4 220EC810 00008808 4D0A2190 00008900 220ACC50 00009A01 8818C810 +@000049DB 00000008 0202A421 00000008 4B02A710 00000008 4F022741 00003D02 +@000049E2 88002810 00005C08 4F1663D1 00003B02 88002810 00000008 4C0227E0 +@000049E9 00000000 280200D0 00000008 0202A421 00000000 22A21810 00000218 +@000049F0 01A88053 00005C08 0014A302 00007801 8814B410 00000008 0202A421 +@000049F7 00000218 C0A88013 00008804 90002810 00000008 0302A401 00000008 +@000049FE 0202A421 00000000 22A21810 00000218 C0A88013 00007801 9014B410 +@00004A05 00000008 0302A401 00000218 C0148013 00008804 90002810 00000008 +@00004A0C 0302A401 00005E01 01002890 00005D01 01002850 00007C01 8818C810 +@00004A13 00000008 0202A421 00006519 C0A8E012 00007801 9014B410 00000008 +@00004A1A 0302A401 00000008 51AA9130 00007001 8818C810 00000008 0202A421 +@00004A21 00003E02 C0A8B410 00006519 C0A8E012 00007001 9014B410 00000008 +@00004A28 0302A401 00000008 570227C1 00003F02 88002810 00000008 51725E80 +@00004A2F 00003A02 68002810 0000C801 10AC3810 00000008 57022731 00002B02 +@00004A36 88002810 00000000 57161850 00005C08 5216A370 00006901 0114C850 +@00004A3D 00000008 550227F1 00000200 01002890 00009A01 9018C810 00000008 +@00004A44 0302A401 00004002 C0002810 00007001 9018C810 00000008 0302A401 +@00004A4B 00005F01 C0002810 00007C05 9018C810 00000008 0302A401 00000000 +@00004A52 C0000010 00005E01 01002890 00007C05 9018C810 00000008 0302A401 +@00004A59 00007501 88002810 00000008 0202A421 00000000 01A81850 00009A01 +@00004A60 8814B410 00000008 0202A421 00006F09 54AAE200 00003A02 68002810 +@00004A67 00000000 01A81890 00006901 D0A8C810 00000008 0302A401 00001602 +@00004A6E 88002810 00000008 0202A421 00000218 70188530 00000218 C0A80010 +@00004A75 00005E01 80002810 00007801 90A0C810 00000008 0302A401 00006901 +@00004A7C 0114C850 00000200 01002890 00000008 55022700 00000008 5602A781 +@00004A83 00003A02 68002810 00000008 57022731 00007501 88002810 00000218 +@00004A8A 01148093 00005C08 551AA3B0 00000008 570227C1 00002B02 88002810 +@00004A91 00000008 5472DE90 0000C801 10AC3810 00007501 88002810 00000008 +@00004A98 0202A421 00000218 01A88053 00005C08 5516A3B0 00006901 0114C850 +@00004A9F 00000008 550227F1 00007701 01002890 00006901 9018C810 00000008 +@00004AA6 0302A401 00009A01 8814B410 00000008 0202A421 00000218 01A8E052 +@00004AAD 00000500 C008B410 00000200 9018C810 00000008 0302A401 0000F901 +@00004AB4 C0002810 00007705 9018C810 00000008 0302A401 00000000 C0000010 +@00004ABB 00005E01 01002890 00007705 9018C810 00000008 0302A401 00000000 +@00004AC2 88141810 00000008 0202A421 00000000 C0A01810 0000411A C0A4A011 +@00004AC9 00004202 9018C810 00000008 0302A401 00000200 C0002810 00006901 +@00004AD0 90A0C810 00000008 0302A401 0000411A 01186AB0 0000061C C014E230 +@00004AD7 00005E01 01002890 00000218 01D08053 00005C08 5116E381 00005D09 +@00004ADE 5116A381 00005E01 01002890 00007701 8818C810 00000008 0202A421 +@00004AE5 00000008 54AADA91 00000008 AD00A7E0 00000008 0202A421 00000000 +@00004AEC 22A21810 00000218 01A88053 00005C08 0014A302 00007701 8814B410 +@00004AF3 00000008 0202A421 00000218 C0A88013 00008804 90002810 00000008 +@00004AFA 0302A401 00000008 0202A421 00000000 22A21810 00000218 C0A88013 +@00004B01 00007701 9014B410 00000008 0302A401 00000218 C0148013 00008804 +@00004B08 90002810 00000008 0302A401 00000030 66D4A800 00006901 06D0B4D0 +@00004B0F 00000030 66D4A800 00001B00 04D4C810 00006519 4040E012 00000008 +@00004B16 D40027C1 00001B00 0440B410 00000000 48141810 00000000 48401810 +@00004B1D 00000008 D40027C0 00000000 04301810 00000008 5802A741 00000218 +@00004B24 06188DD0 00009A09 0F6CE3C1 00000218 0428F0B1 00000008 593240D0 +@00004B2B 00000000 02000090 00001008 5A3262A0 00000218 204AF051 00008100 +@00004B32 0228C890 00000218 202A1050 00008100 0228C8B0 00000218 202A1050 +@00004B39 00008118 0228C1A1 00006901 0330C810 00001008 5A3262A0 00000218 +@00004B40 2032DF52 00008100 8824C810 00000008 0202A511 00000000 04A81890 +@00004B47 00000218 204AE051 00008100 0228C890 00000218 202A1050 00008100 +@00004B4E 0228C8B0 00000218 202A1050 00008118 0228C1A1 00000008 59022750 +@00004B55 00006901 0330C810 0000260A 00286302 00006519 0228E0B2 0000651D +@00004B5C 0208E0B2 00000000 00000010 00000218 02D080D3 00000030 66D4A800 +@00004B63 00000218 01D0E0D2 00007709 0F1CE2C1 00000008 5B1EDF40 00006801 +@00004B6A 010028D0 00000008 5802A741 00000000 02301890 00000000 03301850 +@00004B71 00000218 2036BE52 00008100 8824C810 00000008 0202A511 00001008 +@00004B78 5D326281 00000000 04A818D0 00000218 05302050 00000208 5C562360 +@00004B7F 00000000 044C1890 00007709 5C56A3A0 00006909 5C56A380 00007809 +@00004B86 5C56A3C0 00000218 2032BE52 00008100 8824C810 00000008 0202A511 +@00004B8D 00000000 04A81890 00000008 5C0227E1 00000218 0548F810 00000008 +@00004B94 5C0227E1 00000218 0548E010 00000008 5C0227E1 00000218 0548E810 +@00004B9B 00004302 68002810 00000218 0548F010 0000F209 5E52A3F0 00009E09 +@00004BA2 5D52E220 00009C09 5D52A120 00000030 0B506080 00000718 010800F0 +@00004BA9 00001418 051C0720 00000030 0C284000 00000718 010807F0 00001008 +@00004BB0 00306302 00006901 0330C810 00000218 05282050 00000208 5E562340 +@00004BB7 00000218 00B08810 00007809 5E562300 00000218 202ABE52 00008100 +@00004BBE 8824C810 00000008 0202A511 00001318 04A8F0E1 00000000 C04C1810 +@00004BC5 00000218 202ABE52 00008100 9024C810 00000008 0202A5F1 00000D00 +@00004BCC 0228B490 00006519 0228A2B2 00000008 001C5902 00000A18 021C20B0 +@00004BD3 00000A1C 020C01B0 00000000 00000010 00000008 5D1E8060 00000008 +@00004BDA 5D022730 00006801 011C30D0 00000008 5E0227B0 00000718 010C00F0 +@00004BE1 00000008 5D1E1940 00000718 010800F0 00005C08 5D2EE340 00000008 +@00004BE8 5D022760 00000718 010C07F0 0000131C 0450E0F0 00006901 0228C890 +@00004BEF 0000131C 0450E8F0 00006901 0228C890 0000131C 0450F0F0 00006901 +@00004BF6 0228C890 00001318 C050F830 00000218 202ABE52 00008100 9024C810 +@00004BFD 00000008 0202A5F1 00006905 0228C890 00000000 00000010 00006E01 +@00004C04 040028D0 00001B09 0F4CE3C1 00000000 258E1890 00001308 615EA2F0 +@00004C0B 00000901 88002810 00000008 0202A421 00000000 C0A01B10 00000218 +@00004C12 00A4E052 00002002 98043810 00000919 060CE8F2 00001B00 470AB410 +@00004C19 00001300 065CB4D0 00001C09 606EE1E0 00000000 476E1810 00000A01 +@00004C20 58002810 00000901 80002810 00001C01 47A2C810 00000000 D0941810 +@00004C27 00000008 0F00A4B1 00000030 3EA8A800 00000130 40AAC901 00006901 +@00004C2E 80A0C810 00001C09 61A22110 00006901 588CC810 00000901 06A0B4D0 +@00004C35 00001B00 055CB4D0 00000000 42A21850 00000000 428E1890 00000000 +@00004C3C C09C1810 00001308 605E6230 00006A19 9804E032 00002301 040028D0 +@00004C43 00000901 044CB4D0 00000402 044CB4D0 00000208 624EA150 00006E05 +@00004C4A 460228D0 00009600 58002810 00006E05 464EC8D0 00009600 58002810 +@00004C51 00000000 258E1890 00002201 040028D0 00000909 644EE180 0000AE09 +@00004C58 645EE180 00000901 880CC810 00000008 0202A421 00000000 C0A01B10 +@00004C5F 00000218 00A4E052 00002002 98043810 0000AE01 065CB4D0 00000901 +@00004C66 044CB490 00001208 636EE140 00000000 064818D0 00001B00 0608B4D0 +@00004C6D 00000218 479EE050 00001D09 636EE280 00006901 476EB450 00000901 +@00004C74 80002810 00000A01 58002810 00006901 88A0B410 00000008 0F00A4B1 +@00004C7B 00006901 588CB410 00000030 44A8C902 00000000 50A81810 00001D09 +@00004C82 630A21A0 00001D01 470EC850 00000901 06A0B4D0 00001B00 055CB4D0 +@00004C89 00000000 42A21850 00000000 428E1890 00000000 C09C1810 00000909 +@00004C90 624E2190 00006A19 9804E032 00000008 610227F0 00007009 0F5CA1B1 +@00004C97 00000901 06A0B4D0 00001B00 055CB4D0 00000000 42A21850 00000000 +@00004C9E 428E1890 00009600 48002810 00000000 48A81810 00000000 C0A01810 +@00004CA5 00006A19 9804E032 00000000 80A81810 00000000 C0D01810 00001030 +@00004CAC 48AAC902 00000000 25D21890 00000A01 58002810 00000008 62022790 +@00004CB3 00000000 50A81810 00000218 05580D10 00002618 43521DB0 00001618 +@00004CBA 050C11B0 00002600 05002810 00000218 05508810 00009809 0F50E3B1 +@00004CC1 00002818 0A6EA030 00000000 05881850 00006519 4854E032 00007B19 +@00004CC8 48A0E032 00006519 05A0E810 00000218 8048E810 00001418 05A0E830 +@00004CCF 00000218 804CE810 00001418 48A0F030 00006519 4848E012 00006519 +@00004CD6 484CE012 00007001 04002890 00000218 7848A030 00000000 48001810 +@00004CDD 00006F09 664A21B0 00006901 0448C890 00000008 696E1A10 00006519 +@00004CE4 4858E032 00001E01 03002890 00001F09 693AA200 00000000 88381810 +@00004CEB 00000008 0202A511 00000218 01A88810 00009509 6812E3A0 00001030 +@00004CF2 48AAC902 00000000 01A818D0 00006901 8B38B490 00000008 0202A511 +@00004CF9 00001030 48AAC902 00000000 02A81810 00000000 881C1810 00000008 +@00004D00 0202A511 00001030 48AAC902 00000000 01A81810 00000418 D0208033 +@00004D07 00000008 0202A5F1 00000030 40AAC901 00000818 C0108033 00000000 +@00004D0E 90381810 00000008 0202A5F1 00000030 40AAC901 00000008 67022720 +@00004D15 00006901 0338B490 00000008 67AA0320 00006901 0338B490 00001F09 +@00004D1C 693AA200 00000000 88381810 00000008 0202A511 00000008 6802A7A0 +@00004D23 00002818 0A0E1630 00004000 48002810 00003F00 48002810 00002C00 +@00004D2A 48002810 00000218 01B04092 00000008 5F00A7C1 00000000 68181810 +@00004D31 00000008 53002701 00000801 04002810 00002201 0440B410 00006519 +@00004D38 4840E032 00000008 53002701 00000000 04441810 00002201 0440B410 +@00004D3F 00006519 4840E032 00001E01 04002890 00001F01 0448B490 00006519 +@00004D46 4848E032 00000008 53002701 00000000 04541810 00002201 0440B490 +@00004D4D 00006519 4848E032 00004901 48002810 00002B00 48002810 00002A00 +@00004D54 48002810 00002900 48002810 00002800 48002810 00001500 0444B4D0 +@00004D5B 00000208 6A4EA3E0 00000318 044C20D1 00000008 6002A721 00002600 +@00004D62 80002810 00008D01 80A0B410 00000008 6B022751 0000E901 0108CC10 +@00004D69 00000008 00002702 00001618 050811B0 00000000 D0D01810 00000008 +@00004D70 0202A5F1 00000030 40AAC901 00006909 0010A202 00006901 0110B410 +@00004D77 00000008 6B022750 00006901 80A0B410 00000000 88A01810 00000008 +@00004D7E 0202A511 00000208 0010A202 00006901 0110B410 00001030 4CAAC902 +@00004D85 00000000 48A81810 00000008 6B0227C0 00006901 80A0C810 00002600 +@00004D8C 010028D0 00000218 061C88D0 00009809 0F6CE3B1 00007801 881CB410 +@00004D93 00000008 0202A511 00000130 4CAAC902 00000008 C00027B1 00000000 +@00004D9A 01A81850 00007001 881CB410 00000008 0202A511 00000000 48261890 +@00004DA1 00000F00 4826C8D0 00000218 06A8E0D2 00000F08 6D6EE230 00001B00 +@00004DA8 4826C8D0 00009A01 881CB410 00000008 0202A511 00000130 4CAAC902 +@00004DAF 00000008 C00027B1 00000000 01A81850 00007C01 881CB410 00000008 +@00004DB6 0202A511 00000000 472618D0 00000F00 4826C850 00002101 48022810 +@00004DBD 00000218 06A8E0D2 00000F0C 006CE202 00001B00 4826C810 00000008 +@00004DC4 6C022741 00001618 050C11B0 00002600 80002810 00004402 88A0B410 +@00004DCB 00000008 0202A511 00000000 60A81810 00000218 04A8E092 00006901 +@00004DD2 424AC890 00000008 6C022721 0000E901 0108C810 00000000 0AD21810 +@00004DD9 00000000 0AD21850 00000000 0AD21890 00000000 0AD218D0 00000000 +@00004DE0 52D21850 00002201 04D0CC90 00000218 424AE052 00001F01 04D0C890 +@00004DE7 00000218 474AE092 00000008 53002731 00002201 04D0C810 00000000 +@00004DEE 04401850 00000008 53002731 00002201 04D0C810 00000000 42421810 +@00004DF5 00000218 06B04092 00000A01 040CC890 00001200 0544B4D0 00000208 +@00004DFC 6F5EA3E0 00000318 055C20D1 00000008 6202A771 00001B09 0F08E2C1 +@00004E03 00000000 58441810 00000218 069488D0 00006F09 616CA361 00000218 +@00004E0A 068488D0 00000208 0F6CE3B1 00000218 00B04012 00002800 04002890 +@00004E11 00000218 04483690 00004502 0448C890 00000218 78482631 00000000 +@00004E18 68002810 00008601 5844C810 00000008 69948FA1 00000000 68681810 +@00004E1F 00000000 0BD21810 00000000 0FD218D0 00000000 10D21810 00000000 +@00004E26 05D01890 00002800 04002890 00000008 734ACA40 00001F01 01002850 +@00004E2D 00006901 8914C850 00000008 0202A511 00001E09 7316E230 00000008 +@00004E34 71AA8350 00000218 01A88810 00009509 7212E3E0 00001030 48AAC902 +@00004E3B 00000000 01A818D0 00006901 8814B410 00000008 0202A511 00001030 +@00004E42 48AAC902 00000000 02A81810 00000000 881C1810 00000008 0202A511 +@00004E49 00001030 48AAC902 00000000 01A81810 00000418 D0208033 00000008 +@00004E50 0202A5F1 00000030 40AAC901 00000818 C0108033 00006901 9014B410 +@00004E57 00000008 0202A5F1 00000030 40AAC901 00000008 71022750 00006901 +@00004E5E 0114C850 00006901 8914C850 00000008 0202A511 00000008 71AA8350 +@00004E65 00001E09 7316E130 00000008 7202A7E0 00002818 0A0A1630 00006F01 +@00004E6C 04002890 00000218 70488E30 00000000 00D01810 00007009 734A6250 +@00004E73 00006901 0448B490 00000008 74769DC1 00000000 04D018D0 00000000 +@00004E7A 04D01890 00000218 05D4F010 00001318 0450F8F0 00000218 05D4F810 +@00004E81 00001218 0450F8B0 00000218 05D0F830 00002600 05002850 00008D01 +@00004E88 8054B410 00006901 05002850 00002818 D554A070 00000008 0202A5F1 +@00004E8F 00001418 88D0E012 00000008 0202A511 00001618 050811B0 00000008 +@00004E96 A9588E81 0000281C 060826F3 00000000 0A561810 00009F01 46022810 +@00004E9D 00000E01 04002890 00001218 48085D30 00000008 BD490340 00006901 +@00004EA4 450228D0 00000008 BC01A7B0 00008D01 884CB410 00000008 0202A511 +@00004EAB 00000004 06A818D0 00000218 04A8A090 00000008 5500A781 00000008 +@00004EB2 75022721 00000000 041418D0 00000030 0A488000 00000008 756ED7F0 +@00004EB9 00009F09 754AA3F0 00002800 040028D0 00000008 754ED7F0 00009C09 +@00004EC0 0F48E3C1 00000000 016C1890 00005C00 44022810 00000208 762E2370 +@00004EC7 00005C00 44022850 00000008 53002701 00006901 5C44C810 00000000 +@00004ECE 44421850 00000218 44968013 00007801 040028D0 00002800 010028D0 +@00004ED5 00000008 761E8BC0 00000008 761A8CC0 00001318 040C06F0 00007B01 +@00004EDC 80002810 00000008 65022791 00000000 064C18D0 00002600 430228D0 +@00004EE3 00000008 6E022701 00000000 09161890 00000F01 0A022850 00001101 +@00004EEA 0A022890 00006519 0A2EE0F2 00000030 096C8000 00001001 02002890 +@00004EF1 00000801 5888B410 00000000 028C18D0 00000801 5B0028D0 00000000 +@00004EF8 01941850 00000030 7214A800 00000000 00000010 00000000 44D21810 +@00004EFF 00000000 01D01850 00005C00 44022850 00000008 75022721 00000000 +@00004F06 041418D0 00000030 0A488000 00009F01 060028D0 00000008 6502A791 +@00004F0D 00000008 7902A720 00002800 040028D0 00000008 0F4C57C1 00005C00 +@00004F14 44022850 00000008 75022721 00002900 040028D0 00009F09 0F48A3C1 +@00004F1B 00009C09 0F48A3C1 00000030 0A488000 00000000 44D21810 00009F01 +@00004F22 060028D0 00000008 6502A791 00002900 01002850 00000008 6E022701 +@00004F29 00000000 09161890 00000008 7702A730 00000000 300A1890 00000218 +@00004F30 100C1C30 00008A01 04002890 00000208 794A6380 00006901 0448B490 +@00004F37 00000218 100C1B30 00000000 C0000010 00000008 7C0227E1 00004602 +@00004F3E 80002810 00000008 7C022731 00004702 80002810 00000218 01A8E052 +@00004F45 0000C819 800C9B30 00000218 C00C1830 0000F001 D8A8B410 00000208 +@00004F4C 7AAAE330 00000200 C0002810 00000218 980C1930 00006B01 C0A8C810 +@00004F53 00000008 7AAAD360 00000200 C0002810 00000218 010C1AF0 00000000 +@00004F5A 981C1810 0000F001 C0A8C810 00000508 7AAA21B0 00004802 011CC8D0 +@00004F61 00000218 521E85D0 00000000 C0000010 0000491A 98A8B811 00006B01 +@00004F68 C0A8C810 00000508 7BAAA110 00000218 04A89890 00000208 7B4AA390 +@00004F6F 00006A01 98002810 00000008 7B022740 00006B01 C0A8C810 00004B01 +@00004F76 52022890 00000000 C0081810 00004A02 80002810 00006901 90A0C810 +@00004F7D 00000008 0F00A4B1 0000F001 C0A8C810 00000508 7CAAA110 00000000 +@00004F84 C10C1850 00004B0A 7BA2A1C0 00000008 0000A702 00000000 20A21850 +@00004F8B 00000200 C0002810 00006A19 20A6E0D2 0000201A 98A0B811 00008118 +@00004F92 8808E832 00000008 0F00A4B1 00000000 20AA1890 00000200 C0002810 +@00004F99 00008300 98002810 00008104 80002810 00008200 C0002810 00000000 +@00004FA0 20A21850 00000000 20AA1890 00000200 C0002810 00006A19 20A6E0D2 +@00004FA7 0000201A 98A0B811 00008200 C0002810 00008118 9008E832 00000008 +@00004FAE 0F00A4B1 00000200 C0002810 00008300 98002810 00008104 80002810 +@00004FB5 00008200 C0002810 00000000 05D01850 00001518 05D0F071 00000218 +@00004FBC 03D0E0D2 00000008 7E02A771 00000008 8602A771 00000000 01341850 +@00004FC3 00000000 03301850 00000000 03141810 00000000 01381850 00000000 +@00004FCA 032C1890 00000000 021418D0 00000008 8902A741 00000008 8202A760 +@00004FD1 00000000 483C1810 00000218 033CF8D1 00004702 88002810 00000008 +@00004FD8 0F00A4B1 00000218 02A8F891 00000000 48281810 00006901 023CB490 +@00004FDF 00000000 48281810 00000218 4828E831 00000008 2A02A721 00006901 +@00004FE6 02D0B490 00000208 7E2AE1E0 00004C02 01002850 00000008 7A02A711 +@00004FED 0000C000 04002890 00000000 04D018D0 00000000 01081890 00000218 +@00004FF4 014CE8F1 00000000 03D018D0 00003500 80002810 00000218 C008E012 +@00004FFB 00007701 90A0C810 0000A401 02002890 00000008 3302A761 00000000 +@00005002 36161810 00000000 361A1850 00000008 3A02A741 00000008 3602A701 +@00005009 0000C208 800AE310 0000D904 01002890 0000D800 01002850 00006901 +@00005010 040028D0 00002F02 010028D0 00003500 80002810 00000218 C00CC032 +@00005017 00007701 90A0C810 00000200 02002890 00000008 7F02A7E0 00000200 +@0000501E 05002850 00000008 8102A710 00000000 05D01850 00001518 05D0F071 +@00005025 00004C02 C0002810 00000008 7C0227E1 00004702 80002810 00000008 +@0000502C 7902A751 00000008 3902A7E1 00008A01 C0002810 00000008 7C0227E1 +@00005033 00006301 80002810 00000000 030000D0 00008E01 833CC8D0 00000008 +@0000503A 7C0227E1 00009D01 C0002810 00000008 7C02A731 00009D09 81AAA3A0 +@00005041 00006201 C0002810 00000008 7C0227E1 00006301 80002810 00000008 +@00005048 7C0227E1 00004602 80002810 00000008 8602A771 00000008 8902A741 +@0000504F 0000C000 04002890 00007801 040028D0 00000200 01002890 00004D02 +@00005056 010028D0 00000008 8002A781 00000008 7C022731 00004702 80002810 +@0000505D 00000218 04A8F8D1 00000218 01A8E0D2 00009A01 01002890 0000C000 +@00005064 0418C890 00009A01 044CB4D0 00000008 8002A781 00006519 C03CE012 +@0000506B 00004E02 90002810 00000008 0F00A4B1 00000008 0600A731 00000218 +@00005072 043CF891 00001200 0448C8B0 00008701 0448C890 00004F02 04480890 +@00005079 0000EC00 060028D0 0000EB00 066CB4D0 00001B08 834AA2F0 00001B00 +@00005080 04002890 00000000 2E4A1890 00006519 C048E012 00005002 90002810 +@00005087 00000008 0F00A4B1 0000EB00 8348C890 00000008 8602A721 00006501 +@0000508E C0002810 00006901 90A0B410 00000008 0F00A4B1 0000EB08 84A2E260 +@00005095 00000000 C00C1810 0000ED00 80002810 00006901 90A0B410 00000008 +@0000509C 0F00A4B1 0000EC08 84A2E2B0 00000218 043CF891 0000EC00 0348C850 +@000050A3 0000E700 020028D0 00000B00 043CC810 00000000 013818D0 0000F108 +@000050AA 852EE1C0 0000ED08 852EE180 00000D08 852EE1C0 0000EC08 852EE180 +@000050B1 00000E08 852EE1C0 00002602 C0002810 0000EC18 902CF810 00000008 +@000050B8 0F00A4B1 00000008 8502A7F0 00007001 811CB4D0 00000008 29022761 +@000050BF 00000000 482C1810 00006B01 022CC8D0 00001008 852EA130 00000008 +@000050C6 0100A720 00000200 040028D0 00001300 044CCCD0 00000218 0448DF93 +@000050CD 0000131C 2E0A0070 00000208 864AE331 00000200 01002890 00000200 +@000050D4 04002890 00000008 8002A761 00000008 7C022731 00007001 80002810 +@000050DB 00006519 0DAAE0D2 00000008 7C022731 00007801 80002810 00000000 +@000050E2 00A81B10 00000008 0A012751 00003718 0408F8B0 00006519 0E9EE012 +@000050E9 00000008 8B022711 00005102 05002810 00000000 30361810 00000000 +@000050F0 303A1850 00000000 03341810 00000000 023818D0 00000008 7C022731 +@000050F7 00009F01 80002810 00000000 05A81810 00000208 8756A3E0 00000000 +@000050FE 05541810 00006519 0D52F892 00000008 8B02A711 00000008 0000A702 +@00005105 00004E02 88002810 00000008 0F00A4B1 00000218 06A8F8D1 0000EC00 +@0000510C 2F6EC850 00005002 88002810 00000008 0F00A4B1 00000218 04A8E092 +@00005113 00000000 2E4A1890 00000008 8602A721 00000200 01002890 00006901 +@0000511A 04002890 00006901 040028D0 00002F02 010028D0 00000008 7F02A7A1 +@00005121 00000008 86022771 00000000 05000050 00006501 0D022890 00006901 +@00005128 04002890 00000008 8002A760 00009601 01002890 00006901 0434C890 +@0000512F 00000008 8002A761 00000008 7C022731 00006919 8018E831 00000218 +@00005136 04A8F811 00001008 893AA2C0 00000000 03401890 00000B08 0F38E2B1 +@0000513D 00000218 043CB811 00009601 0440B410 0000520A 8A42A110 00005202 +@00005144 04002810 00000208 0038A302 00001008 8A3A6150 00000000 044018D0 +@0000514B 00000000 043818D0 00000000 024C1810 00009601 01002890 00000000 +@00005152 04341890 0000F401 010028D0 00000008 8002A781 00000000 042018D0 +@00005159 00000000 04301890 00000008 7F02A7A1 00000800 0334C850 00000800 +@00005160 0330C810 00000800 0338B490 00000008 8A02A710 00000008 7C022731 +@00005167 00006801 80002810 00000000 03A81850 00000008 7C022731 00006901 +@0000516E 80A0C810 00000000 03A81890 00006901 80A0C810 00000208 0F34A3B1 +@00005175 00000008 7C02A731 00006901 0334B450 00001408 8BAA6380 00000E00 +@0000517C 80A0C810 00000E00 80A0B410 00000008 7C022731 00006901 80A0C810 +@00005183 00000000 03A81850 00000008 7C022731 00006901 80A0C810 00000004 +@0000518A 03A81890 00000000 00000010 00000200 00000000 00000008 02000000 +@00005191 02000000 02000000 02000000 02000000 02000000 02000000 02000000 +@00005198 02000000 02000000 02000000 02000000 02000000 02000000 02000000 +@0000519F 02000000 02000000 02000000 02000000 02000000 02000000 02000000 +@000051A6 02000000 02000000 02000000 02000000 02000000 02000000 02000000 +@000051AD 02000000 02000000 02000000 02000000 02000000 02000000 02000000 +@000051B4 02000000 02000000 02000000 02000000 02000000 02000000 02000000 +@000051BB 02000000 02000000 02000000 02000000 02000000 02000000 02000000 +@000051C2 02000000 02000000 02000000 02000000 02000000 02000000 02000000 +@000051C9 02000000 02000000 02000000 02000000 02000000 02000000 02000000 +@000051D0 02000000 02000000 02000000 02000000 02000000 02000000 02000000 +@000051D7 02000000 02000000 02000000 02000000 02000000 02000000 02000000 +@000051DE 02000000 02000000 02000000 02000000 02000000 02000000 02000000 +@000051E5 02000000 02000000 02000000 02000000 02000000 02000000 02000000 +@000051EC 02000000 02000000 02000000 02000000 02000000 02000000 02000000 +@000051F3 02000000 02000000 02000000 02000000 02000000 02000000 02000000 +@000051FA 02000000 02000000 02000000 02000000 02000000 02000000 02000000 +@00005201 02000000 02000000 02000000 02000000 02000000 02000000 02000000 +@00005208 02000000 02000000 02000000 02000000 02000000 02000000 02000000 +@0000520F 02000000 02000000 02000000 02000000 02000000 02000000 02000000 +@00005216 02000000 02000000 02000000 02000000 02000000 02000000 02000000 +@0000521D 02000000 02000000 02000000 0000FBC0 0000FBC0 03000040 02003F06 +@00005224 00007767 0000FBC0 0000FBC0 01000000 00007767 0000FBC0 0000FBC0 +@0000522B 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0200FCC0 +@00005232 03000080 03000080 03000080 0000FBC0 0200FCC0 03000080 03000080 +@00005239 0200FCC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 +@00005240 02000000 02000000 02000000 02000000 02000000 02000000 02000000 +@00005247 02000000 0000EB25 0000EB25 0000EA65 03000080 0000EB25 0000ED25 +@0000524E 03000080 03000080 0000F4A5 0200F6A5 0000F8A5 0200FAA5 03000080 +@00005255 00009463 0200FB40 03000080 00004762 00005362 00004762 00004762 +@0000525C 02005862 00004762 0000FBC0 0000FBC0 0000FBC0 0000FBC0 03000080 +@00005263 03000080 03000080 03000080 03000080 0000FBC0 0000FBC0 0000FBC0 +@0000526A 0000B761 0000B761 03000080 0000B761 0000B761 0000FBC0 0000FBC0 +@00005271 0000FBC0 0000C561 0000C561 03000080 03000080 03000080 0000FBC0 +@00005278 02005D61 010000C0 010000C0 03000080 010000C0 02005D61 02005D61 +@0000527F 02005D61 02005F21 02005F21 02007A61 00007261 02005F21 02005F21 +@00005286 02005F21 02005F21 010000C0 0200FCC0 010000C0 0200FCC0 010000C0 +@0000528D 010000C0 0000FC80 0000FC80 02003E61 00001E61 02001C61 00001B61 +@00005294 02001C61 00001E61 0000FBC0 0000FBC0 000039E0 03000080 03000080 +@0000529B 03000080 03000080 03000080 03000080 0000FBC0 0200A5A0 0200A5A0 +@000052A2 0000F620 000009A1 0200FB80 02004920 0000B220 0200FB80 0200051B +@000052A9 0000B081 0200FB80 0200FB80 02008D5A 0200A05A 0000FBC0 0000FBC0 +@000052B0 02008D5A 02008D5A 02008D5A 02008D5A 02008D5A 02008D5A 02008D5A +@000052B7 02008D5A 0200A05A 02008D5A 02008D5A 0200A35A 02009A5A 02008D5A +@000052BE 02008D5A 02008D5A 02008D5A 02008D5A 02008D5A 02008D5A 02008D5A +@000052C5 02008D5A 02008D5A 02008D5A 02008D5A 02008D5A 02008D5A 02008D5A +@000052CC 02008D5A 02008D5A 02008D5A 02008D5A 02001519 00001419 00003019 +@000052D3 02007519 0200DE0A 0200C219 0000C519 0200C819 0200CB19 02006514 +@000052DA 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 02001519 +@000052E1 02001019 00003019 0200BA19 0200DE0A 0000C519 0200C219 0200C819 +@000052E8 0200CB19 00006714 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 +@000052EF 0000FBC0 02000017 02003017 00006817 0000BA17 0200A716 0000C016 +@000052F6 0200D016 0000F016 0200E016 02002414 0000FBC0 0000FBC0 0000FBC0 +@000052FD 0000FBC0 0000FBC0 0000FBC0 00004116 02004516 0200DE0A 00006916 +@00005304 00006C16 00002819 00002B19 00006F16 00007816 02007A16 00007116 +@0000530B 00002D0E 0200E00E 00009D14 02007C16 02008016 00000919 00002E16 +@00005312 00002E16 0000A516 0000FBC0 0000FBC0 0000FBC0 0000FBC0 00008715 +@00005319 02008C15 02008F15 02008F15 02008F15 02008F15 02008C15 00008715 +@00005320 02007B55 00008715 02008C15 02008C15 02008F15 02008F15 02008F15 +@00005327 02008F15 02008F15 02008F15 02008F15 02008F15 02008C15 02008C15 +@0000532E 00008715 02007B55 0000FBC0 0000FBC0 0000FBC0 0000FBC0 02005D15 +@00005335 0000FBC0 02002C16 00005015 0000FBC0 0000FBC0 0000FBC0 0000FBC0 +@0000533C 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 +@00005343 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 +@0000534A 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 02009555 +@00005351 00009615 01000000 01000000 01000000 01000000 00009615 02009555 +@00005358 00006315 02006715 02006715 02002916 0000FBC0 0000FBC0 0000FBC0 +@0000535F 0000FBC0 0200D508 0200D508 0200D508 0200D508 0000D548 0000D548 +@00005366 0000D548 0000D548 0000D548 0000D548 0000FBC0 0000FBC0 0000FBC0 +@0000536D 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 +@00005374 00003F15 0000FBC0 00002716 02003715 0000FBC0 0000FBC0 0000FBC0 +@0000537B 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 +@00005382 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 +@00005389 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 +@00005390 00006A15 02002515 0200E915 00002715 0200F055 00000156 0000F455 +@00005397 02001516 02000E16 0200FCC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 +@0000539E 0000FBC0 0000FBC0 00006A15 00006915 0200E915 0200AD15 0200F055 +@000053A5 0000F455 00000156 02001516 02000E16 0200FCC0 0000FBC0 0000FBC0 +@000053AC 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 +@000053B3 0000FBC0 0200CA14 0000FBC0 00003916 0200D114 0000FBC0 0000FBC0 +@000053BA 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 +@000053C1 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 +@000053C8 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 +@000053CF 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0200FCC0 0000FBC0 02000B15 +@000053D6 00004715 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 +@000053DD 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0000FBC0 0200FCC0 +@000053E4 0200FCC0 0200D392 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 +@000053EB 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 +@000053F2 0000FBC0 0200FCC0 0000FBC0 0200A5D2 02004755 0000FBC0 0000FBC0 +@000053F9 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0200FCC0 0200FCC0 0200FCC0 +@00005400 0200FCC0 0200FCC0 0000FBC0 0200FCC0 0200FCC0 00000D55 0200FCC0 +@00005407 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0000FBC0 0000FBC0 0000FBC0 +@0000540E 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0200FCC0 0000FBC0 +@00005415 0200A292 02002F15 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 +@0000541C 0000FBC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0000FBC0 +@00005423 0200FCC0 0200FCC0 00000515 0200FCC0 0200FCC0 0200FCC0 0200FCC0 +@0000542A 0200FCC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 +@00005431 0000FBC0 0000FBC0 0200FCC0 0000FBC0 0200A292 0000C214 0000FBC0 +@00005438 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0200FCC0 0200FCC0 +@0000543F 0200FCC0 0200FCC0 0200FCC0 0000FBC0 0200FCC0 0200FCC0 0000BF14 +@00005446 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0000FBC0 0000FBC0 +@0000544D 0000FBC0 0000FBC0 0000FBC0 0200D714 02009D15 00002A53 00002F53 +@00005454 00003453 0000D914 0000DC14 0200DF54 0000EE52 0000EB52 020061D3 +@0000545B 020061D3 020061D3 0200BD14 020061D3 020061D3 0000FBC0 02001315 +@00005462 02001C15 02000E19 0000FBC0 0000FBC0 0000FBC0 0000FBC0 02000000 +@00005469 020055C5 020055C5 0000D548 010000C0 03000080 03000080 010000C0 +@00005470 0000FBC0 02001E44 00002044 02002244 02002444 00002644 02002844 +@00005477 01000000 01000000 02002444 01000000 00002E04 00002644 02002C04 +@0000547E 00002A44 02002844 00002644 0000FBC0 0000FBC0 0000FBC0 0000FBC0 +@00005485 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 +@0000548C 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 00000744 02000C44 +@00005493 0000FBC0 00000144 0000FBC0 02000544 02000344 0000FBC0 0000FBC0 +@0000549A 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 +@000054A1 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 +@000054A8 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 +@000054AF 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 01000000 0000FBC0 +@000054B6 0000FBC0 02000044 0000FBC0 0000FBC0 0000FBC0 0200E343 0000DE43 +@000054BD 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 02000F44 0000FBC0 +@000054C4 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FC43 0000FBC0 0000FBC0 +@000054CB 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 01000000 0200AD52 +@000054D2 0200AD52 01000000 03000080 00002752 02002F52 0200D657 03000080 +@000054D9 02004F51 02004F51 02005751 020055C5 0000DBC8 020055C5 0200DB48 +@000054E0 0000FBC0 02004945 02004945 00004D45 00004D45 0000FBC0 0000FBC0 +@000054E7 0000FBC0 0200D848 020055C5 0000D548 020055C5 0200DA43 0000FBC0 +@000054EE 03000040 0000D303 0000FBC0 0200BA43 0200BC43 0000FBC0 0000B843 +@000054F5 0000B843 0000B843 0000B843 0000FBC0 0000FBC0 0000FBC0 0000FBC0 +@000054FC 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 +@00005503 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 +@0000550A 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 00009B03 +@00005511 00009B03 0000FBC0 00009B03 00009F43 00009B03 00009B03 0000B743 +@00005518 0000FBC0 00009B03 00009B03 0000B443 0000B243 00009B03 00009B03 +@0000551F 00009B03 00009B03 00009B03 0200A143 00009B03 00009B03 00009B03 +@00005526 00009B03 00009B03 0200B043 00009B03 00009B03 0000FBC0 0000FBC0 +@0000552D 0000FBC0 0000FBC0 00009B03 0200FB80 0200ED82 03000080 03000080 +@00005534 03000080 03000080 03000080 03000080 03000080 03000080 0200FCC0 +@0000553B 03000080 0200ED82 0200FB80 0200FB80 0200FB80 0200D403 0000DC03 +@00005542 0000FBC0 0000FBC0 03000080 010000C0 010000C0 00009103 02009143 +@00005549 00009A43 0000FBC0 0000FBC0 02004E42 03000080 0000FBC0 0000FBC0 +@00005550 0000FBC0 00000B42 00000CC2 00000CC2 00000CC2 00000CC2 00000CC2 +@00005557 00000CC2 00000CC2 03000080 0000FBC0 03000080 02000EC2 0000FBC0 +@0000555E 0000FBC0 0000FBC0 0200F041 0200EE41 0000FBC0 0000FBC0 0200F2C1 +@00005565 0200F041 0000FBC0 0000FBC0 0200EE41 0200F2C1 0000FBC0 0000FBC0 +@0000556C 0200DB48 02005B49 00005949 02005849 0000FBC0 0000FBC0 0000FBC0 +@00005573 03000080 0000FBC0 03000080 03000080 0000FBC0 0000FBC0 03000080 +@0000557A 0000FBC0 0000FBC0 0000FBC0 03000080 03000080 03000080 03000080 +@00005581 03000080 0000FBC0 03000080 03000080 03000080 03000080 03000080 +@00005588 0000FBC0 03000080 03000080 0000FBC0 0000FBC0 0000FBC0 0000FBC0 +@0000558F 0000FBC0 03000080 03000080 03000080 03000080 03000080 03000080 +@00005596 03000080 03000080 03000080 03000080 03000080 03000080 000023DB +@0000559D 03000080 03000080 03000080 03000080 03000080 03000080 03000080 +@000055A4 03000080 03000080 03000080 03000080 03000080 03000080 000023DB +@000055AB 03000080 03000080 03000080 03000080 03000080 03000080 03000080 +@000055B2 000023DB 03000080 03000080 03000080 03000080 03000080 03000080 +@000055B9 03000080 03000080 03000080 03000080 03000080 03000080 03000080 +@000055C0 03000080 03000080 03000080 03000080 00003DDB 00003DDB 03000080 +@000055C7 03000080 03000080 03000080 03000080 03000080 03000080 03000080 +@000055CE 03000080 03000080 00004A66 00004A66 00004A66 00004A66 00004A66 +@000055D5 00004A66 00004A66 03000080 00004A66 03000080 03000080 03000080 +@000055DC 00004A66 03000080 03000080 03000080 0000FBC0 0000FBC0 00004A66 +@000055E3 03000080 00004A66 00004A66 00004A66 00004A66 00004A66 00004A66 +@000055EA 00004A66 03000080 00004A66 03000080 03000080 03000080 00004A66 +@000055F1 03000080 00004A66 03000080 03000080 03000080 00004A66 03000080 +@000055F8 00004A66 03000080 03000080 03000080 00004A66 03000080 00004A66 +@000055FF 03000080 00004A66 03000080 00004A66 03000080 00004A66 03000080 +@00005606 00004A66 00004A66 00004A66 00004A66 00004A66 00004A66 00004A66 +@0000560D 00004A66 00004A66 00004A66 0000A5DA 0000A5DA 000084DA 03000080 +@00005614 0000A5DA 0000A5DA 000084DA 03000080 000084DA 03000080 03000080 +@0000561B 03000080 000084DA 03000080 03000080 03000080 0000FBC0 0000FBC0 +@00005622 000084DA 03000080 020085DA 0000B2DA 020085DA 0200ADDA 0200B5DA +@00005629 0200B5DA 000084DA 03000080 000084DA 03000080 03000080 03000080 +@00005630 000084DA 03000080 000084DA 03000080 03000080 03000080 000084DA +@00005637 03000080 000084DA 03000080 03000080 03000080 000084DA 03000080 +@0000563E 000084DA 03000080 000084DA 03000080 000084DA 03000080 000084DA +@00005645 03000080 0000A5DA 0000A5DA 0000A5DA 0000A5DA 0000A5DA 0000A5DA +@0000564C 0000A5DA 0000A5DA 0000A5DA 0000A5DA 0000A5DA 0000A5DA 000084DA +@00005653 0000A5DA 0000A5DA 0000A5DA 000084DA 03000080 000084DA 03000080 +@0000565A 03000080 03000080 000084DA 03000080 03000080 03000080 0000FBC0 +@00005661 0000FBC0 0000AADA 0000ACDA 020085DA 0000B2DA 020085DA 0200ADDA +@00005668 0200B5DA 0200B5DA 000084DA 03000080 000084DA 03000080 03000080 +@0000566F 03000080 000084DA 03000080 000084DA 03000080 03000080 03000080 +@00005676 000084DA 03000080 000084DA 03000080 03000080 03000080 000084DA +@0000567D 03000080 000084DA 03000080 000084DA 03000080 000084DA 03000080 +@00005684 000084DA 03000080 0000A5DA 0000A5DA 0000A5DA 0000A5DA 0000A5DA +@0000568B 0000A5DA 0000A5DA 0000A5DA 0000A5DA 0000A5DA 0000FBC0 01000000 +@00005692 0000FBC0 00000C51 0000FBC0 01000000 01000000 0000FBC0 0000FBC0 +@00005699 0000FBC0 0000FBC0 0000FBC0 0000FBC0 01000000 01000000 0200F950 +@000056A0 02000851 00000351 0000FBC0 0000F750 0200FF50 01000000 01000000 +@000056A7 01000000 0000FBC0 0200FF50 0200FF50 0000FBC0 0000FBC0 0000FBC0 +@000056AE 0000FBC0 0000FBC0 0000FBC0 01000000 01000000 01000000 01000000 +@000056B5 03000080 03000080 01000000 0000FBC0 0000FBC0 0000FBC0 0000FBC0 +@000056BC 0000FBC0 01000000 01000000 01000000 01000000 01000000 01000000 +@000056C3 01000000 01000000 03000080 01000000 01000000 01000000 01000000 +@000056CA 01000000 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0200FCC0 +@000056D1 0200FCC0 0200FCC0 03000080 0200FCC0 0200FCC0 0200FCC0 0200FCC0 +@000056D8 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 +@000056DF 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 +@000056E6 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 +@000056ED 0200FCC0 0200FCC0 0200FCC0 02005749 00005649 020055C9 000054C9 +@000056F4 020053C9 00004AC9 000045C9 0000E8C8 0200E6C8 020017C9 020005C9 +@000056FB 0000F6C8 0200F7C8 000043C9 000043C9 0000DBC8 000032C9 000034C9 +@00005702 020030C9 02002EC9 00002CC9 00001FC9 0200F1C8 0200E9C8 0200FB80 +@00005709 00001207 0200FB80 02002507 02000E07 0200FB80 0200FB80 0200FB80 +@00005710 0000F844 0200E844 0200EE44 0200E444 0000E644 0200E244 0000BD40 +@00005717 0200BA40 00008713 00008E13 00009513 0200D304 0200DA04 0000D804 +@0000571E 0200DF04 0200DC04 0200FB80 00006513 00006C13 02007313 02008013 +@00005725 0200FD13 02000F14 02000614 02002B0A 02002B0A 02002B0A 02002B0A +@0000572C 02002E0A 0200300A 0000340A 0200FB80 03000080 0200630A 0000670A +@00005733 0200690A 0000620A 00006B0A 0000700A 0200FB80 0200FB80 0200FB80 +@0000573A 0200FB80 0200FB80 0200FB80 0200FB80 0200FB80 0200FB80 0000114A +@00005741 0000FF49 00001B4A 0000214A 0200104A 0000114A 0000274A 0000114A +@00005748 0200FC09 00000E0A 0000FE09 02000C0A 0200090A 0000040A 0000040A +@0000574F 0200FB80 0000E249 0000E249 0000DD49 0000DD49 0200DF49 0000E249 +@00005756 0000DD49 0000E249 0200E209 0000DC09 0000E909 0000370A 0000370A +@0000575D 0000370A 0000370A 0200FB80 0200FB40 0200B549 0200B549 00006E09 +@00005764 00006E09 0200FB40 0200FB40 0200FB40 00007D04 02008604 00008B04 +@0000576B 00009604 00009A04 00009004 00009304 0000FB00 0200FCC0 010000C0 +@00005772 010000C0 010000C0 010000C0 010000C0 010000C0 03000080 03000080 +@00005779 010000C0 03000080 03000080 010000C0 03000080 010000C0 010000C0 +@00005780 010000C0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 +@00005787 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 +@0000578E 0200FCC0 0200FCC0 0200FCC0 0200D8CC 0200DBCC 0200DECC 0000CECC +@00005795 0200E1CC 0200E4CC 0200E8CC 020029CD 0000CECC 0200E8CC 0200E8CC +@0000579C 0200E1CC 0200E8CC 0200F3CC 0200FFCC 0200E1CC 0200FCC0 0200FCC0 +@000057A3 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 +@000057AA 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 +@000057B1 0200D80C 0200DB0C 0200DE0C 0000CE0C 0200E10C 0200E40C 0200E80C +@000057B8 0200ED0C 0000CE0C 0200E80C 0200E80C 0200E10C 0200E80C 0200F30C +@000057BF 0200FF0C 0200E10C 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 +@000057C6 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 +@000057CD 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200618C 0200678C 02006E8C +@000057D4 0200578C 0200758C 02007C8C 0000888C 0000888C 0200578C 0000888C +@000057DB 0000888C 0200808C 0000888C 02008C8C 02009E8C 0200758C 0200FCC0 +@000057E2 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 +@000057E9 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 +@000057F0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 03000080 0200FCC0 +@000057F7 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 +@000057FE 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 +@00005805 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 +@0000580C 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 +@00005813 0000894B 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 +@0000581A 0200FCC0 0200FCC0 0200FCC0 00007F4B 02008D4B 0200FCC0 0200FCC0 +@00005821 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 +@00005828 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 +@0000582F 0200FCC0 0200FCC0 0200FCC0 0200FCC0 02007D4B 0200FCC0 0200FCC0 +@00005836 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 +@0000583D 00007F4B 00007F4B 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 +@00005844 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 +@0000584B 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200920B 0200FCC0 +@00005852 0000990B 00009A0B 0200FCC0 0200FCC0 0200FCC0 00008A4B 0200FCC0 +@00005859 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 03000080 00008605 +@00005860 0200FCC0 0000DE4A 0200FCC0 0200FCC0 0000FC80 03000080 01000000 +@00005867 0000E00A 0200FCC0 0000DE4A 0200FCC0 0200FCC0 0000FC80 03000080 +@0000586E 0200DE0A 0000FC80 0200FCC0 0200FCC0 0200FCC0 0200D54A 0200FCC0 +@00005875 0200D94A 0200D94A 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 +@0000587C 0200FCC0 0000C54A 03000080 0200FCC0 0200FCC0 0200FCC0 0200FCC0 +@00005883 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 +@0000588A 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 +@00005891 0200FCC0 0200FCC0 0000C94A 0200FCC0 0200CD4A 0200CD4A 0200FCC0 +@00005898 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 03000080 03000080 +@0000589F 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 +@000058A6 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 0200FCC0 +@000058AD 0200FCC0 0200FCC0 0200FCC0 0200FB80 0200FB80 0200FB80 020060C5 +@000058B4 0200FB80 00005E05 00005E05 0200FB80 0000FBC0 0000FBC0 0000FBC0 +@000058BB 0000FBC0 0000FBC0 02003605 02003605 00002306 02005F09 0000E64B +@000058C2 0200FB80 02005627 0200AA05 00005E05 0000C805 00007605 0200FB80 +@000058C9 02009905 0200AA05 0200FB80 0200FB80 0200FB80 0200FB80 0200FB80 +@000058D0 03000080 03000080 03000080 03000080 03000080 03000080 03000080 +@000058D7 03000080 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 03000080 +@000058DE 010000C0 03000080 03000080 03000080 03000080 03000080 03000080 +@000058E5 03000080 03000080 03000080 03000080 03000080 03000080 0000FBC0 +@000058EC 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 +@000058F3 03000080 0000FBC0 03000080 03000080 0000FBC0 0000FBC0 0000FBC0 +@000058FA 0000FBC0 0000FBC0 0000FBC0 03000080 010000C0 03000080 03000080 +@00005901 03000080 0000FBC0 03000080 03000080 03000080 03000080 03000080 +@00005908 0000FBC0 03000080 03000080 0000FBC0 0000FBC0 0000FBC0 0000FBC0 +@0000590F 0000FBC0 0000FBC0 0000FBC0 0000FBC0 010000C0 0000FBC0 010000C0 +@00005916 010000C0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 +@0000591D 010000C0 03000080 010000C0 010000C0 010000C0 0000FBC0 010000C0 +@00005924 010000C0 010000C0 010000C0 010000C0 0000FBC0 010000C0 010000C0 +@0000592B 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 02001085 02001085 +@00005932 02001085 02001085 0200FB80 0000DB80 0200DF80 0200FB80 0000A700 +@00005939 0000A700 0000A700 0000A700 0200FB80 0200DB00 0000DF00 0200FB80 +@00005940 0000C100 0200C000 0200C000 0200C000 0200FB80 0200D700 0000D900 +@00005947 0200FB80 0200A280 0200A180 0200A180 0200A180 0000B480 0200AE80 +@0000594E 0000B180 0000B780 0000A1C0 0000A1C0 0000A1C0 0000A1C0 0000B3C0 +@00005955 0000ADC0 0000B0C0 0000B6C0 0200A180 0200A180 0200A180 0200A180 +@0000595C 0200B380 0200AD80 0200B080 0200B680 03000040 01000000 01000000 +@00005963 00002845 00003C46 0000FBC0 0000FBC0 02001945 03000040 0000FBC0 +@0000596A 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 0000FBC0 02003101 +@00005971 00002E01 02002501 02001901 00001101 02001501 02000D01 00002101 +@00005978 00001D01 02002901 00002B01 00002D01 00007B04 02004901 0200FCC0 +@0000597F 0200FCC0 03000080 00005001 00005001 02002705 00003B06 0000FBC0 +@00005986 0000FBC0 02001805 0200DA4A 0200FCC0 0000DE4A 01000000 03000080 +@0000598D 00009DC0 0000E2C8 03000080 00000300 00000200 00005E00 00000003 +@00005994 00000400 00000000 00000004 00000000 00000000 00000000 FFFFFFFF +@0000599B 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@000059A2 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@000059A9 00000000 00000000 00000000 00000000 00052200 00000000 00000000 +@000059B0 00000000 00000000 00000000 00050000 00050000 00002000 00001700 +@000059B7 00054903 00000000 00000000 00000000 00000000 00000000 00000000 +@000059BE 00000000 00000000 00000000 00000000 00000000 00050000 00055F00 +@000059C5 FF0530F4 FF05FFFF FF05FEFF 00030000 00030000 00030500 00030500 +@000059CC FC05F353 00050000 00051100 00055500 00050000 00050000 00030000 +@000059D3 00030000 00050000 00030000 00030000 00030000 00030000 00000000 +@000059DA 00050000 00050000 00030000 00030000 00050000 00030000 00050000 +@000059E1 00050000 00050000 00030000 00050000 00050000 00050000 00050000 +@000059E8 00050000 00050000 00050000 00050000 00050000 00050000 00050000 +@000059EF 00030000 00030000 00030000 00000000 00030000 00030500 00030000 +@000059F6 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@000059FD 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005A04 00000000 00000000 00000000 00000000 00004300 00000000 00000000 +@00005A0B 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005A12 00000000 00000000 00008001 00000000 00000000 00000000 00000000 +@00005A19 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005A20 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005A27 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005A2E 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005A35 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005A3C 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005A43 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005A4A 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005A51 00000000 00000000 00000000 00800000 00000000 FD00FCFF 00000000 +@00005A58 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005A5F 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005A66 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005A6D 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005A74 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005A7B 00000000 00000000 FD00F0FF 00000000 00000001 00000000 00000000 +@00005A82 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005A89 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005A90 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005A97 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005A9E 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005AA5 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005AAC 00000000 00000000 00000000 00000000 00000000 00000000 0000D802 +@00005AB3 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005ABA 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005AC1 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005AC8 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005ACF 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005AD6 00000000 00000000 00000000 00000000 00000000 00000000 00007440 +@00005ADD 00008040 00009040 00009840 000D0000 00001D00 00000000 00000000 +@00005AE4 00000000 00000000 00000000 00000000 00000000 FF0022F4 00000000 +@00005AEB 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005AF2 00000000 00000000 00030000 FF0040F4 00004100 3F0025F4 3F0020F4 +@00005AF9 00002600 3F0005F6 00052200 00050000 00001902 00001102 00008000 +@00005B00 00000100 00020000 00000001 00002400 00002800 0000D802 00001000 +@00005B07 00000400 001F5D00 0000000C FF0010F6 0000E700 00000601 0000FF3F +@00005B0E 00000200 00000300 00CD0000 00003F00 000D0000 00000600 0000CF0A +@00005B15 00C00000 0000C40A 10050000 08000000 000E0000 00800000 00400000 +@00005B1C 0005FF00 FFFFFEFF 0000FF00 00051500 00000E00 00002000 00005E00 +@00005B23 00000B01 00000C00 00000040 00000D01 20000000 00110000 00120000 +@00005B2A 10070200 00000B00 00000D00 00000A00 00001100 00001300 00001700 +@00005B31 00000500 000C0000 00000800 00001F00 00000F00 00000700 00001200 +@00005B38 00006204 08000001 0000FE03 00000900 FFFFFDFF FB0D31FC 00001800 +@00005B3F 00090000 FB0D3EFC FB0D32FC 00001600 02000000 00001A00 00001400 +@00005B46 0000B606 0000E406 0000D70C FF0CFFFF 000F0000 00004006 00200000 +@00005B4D 10000000 0000F800 001A0000 008E0000 20250000 50250000 40250000 +@00005B54 30250000 00002905 16250000 12250000 00004000 00003C06 04000000 +@00005B5B 00004113 00004513 0000F50A FFFFFCFF 00040000 000B0000 000A0000 +@00005B62 0000E010 00000010 00002010 00006010 0000E011 00008003 0000600D +@00005B69 0000500D 0000C80D 00001500 0000E20E 0000E012 00008810 00002210 +@00005B70 00000310 00009830 0000E032 00003E30 00001D30 FC000000 FE000000 +@00005B77 FF00E9FF 00FF2000 00FF1700 00140000 00001900 04053F00 0000E013 +@00005B7E 30070000 00060000 00001E00 80FF0000 80000000 30000000 00C50000 +@00005B85 0000B412 0000C003 00000020 00D50000 00007F00 00005101 00000008 +@00005B8C 00850000 20C70000 00001E04 0000EC12 00004100 00007A00 00005A00 +@00005B93 00006100 00000810 00003810 00003010 00003000 80050000 0000EB12 +@00005B9A 0000EE12 00000004 00001804 0000E203 0000D912 0000F012 00002F04 +@00005BA1 00001704 0000E103 FF7FFFFF 38120018 38000000 0000FF03 30120010 +@00005BA8 00480000 004B0000 00000326 00C90000 0000FA00 FF0028F4 FF0024F4 +@00005BAF 000028F8 00001C00 00050100 0000A003 0000D003 FB0000FC 0D000000 +@00005BB6 10020000 C0020000 00003003 80020000 0000E001 0000F721 00004612 +@00005BBD 0000FFFF 0000C001 0000D001 00054100 00054300 00000501 0000E600 +@00005BC4 00054200 A0050000 0000FF01 9F0070FF 18007002 8000007D 20000502 +@00005BCB 00009F01 00008001 0000B800 00000401 00000801 FF0011F6 00002824 +@00005BD2 00001001 00001201 00001101 0000E802 00000701 FFFFE8FE 000000C0 +@00005BD9 FF0030F6 0000C225 00002A00 00004501 3F0012F6 00000F01 00080000 +@00005BE0 CD020000 00001F01 00003F01 01000000 00000005 00000E01 FFFF00FF +@00005BE7 00000301 47455041 00000002 00000000 00000000 00000000 00000000 +@00005BEE 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005BF5 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005BFC 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005C03 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005C0A 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005C11 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005C18 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005C1F 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005C26 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005C2D 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005C34 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005C3B 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005C42 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005C49 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005C50 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005C57 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005C5E 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005C65 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005C6C 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005C73 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005C7A 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005C81 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005C88 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005C8F 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005C96 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005C9D 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005CA4 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005CAB 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005CB2 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005CB9 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005CC0 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005CC7 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005CCE 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005CD5 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005CDC 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005CE3 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005CEA 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005CF1 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005CF8 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005CFF 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005D06 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005D0D 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005D14 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005D1B 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005D22 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005D29 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005D30 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005D37 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005D3E 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005D45 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005D4C 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005D53 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005D5A 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005D61 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005D68 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005D6F 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005D76 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005D7D 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005D84 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005D8B 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005D92 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005D99 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005DA0 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005DA7 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005DAE 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005DB5 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005DBC 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005DC3 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005DCA 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005DD1 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005DD8 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005DDF 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005DE6 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005DED 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005DF4 00000000 00000000 00000000 00000000 00000000 00000000 00000000 +@00005DFB 00000000 00000000 00000000 00000000 00000000 00059D0A 00059D0A +@00005E02 00059D0A 00059D0A 00059D0A 00059D0A 00059D0A 00059D0A 00059D0A +@00005E09 00059D0A 00059D0A 00059D0A 00059D0A 00059D0A 00059D0A 00059D0A +@00005E10 0005A30A 0005A30A 0005A30A 0005A30A 0005A30A 0005A30A 0005A30A +@00005E17 0005A30A 0005A30A 0005A30A 0005A30A 0005A30A 0005A30A 0005A30A +@00005E1E 0005A30A 0005A30A 0005FB00 0005FB00 0005C30A 0005CE0A 00055A0B +@00005E25 00055E0B 0005620B 0005660B 0005420B 0005450B 0005480B 00054B0B +@00005E2C 00054E0B 0005510B 0005540B 0005570B 0005EB0A 0005F70A 0005080B +@00005E33 0005030B 0005190B 00051E0B 0005240B 0005E50A 0005360B 00053C0B +@00005E3A 00052A0B 00052F0B 00050E0B 0005130B 0005FD0A 0005F10A 0005E300 +@00005E41 0005E900 0005E500 0005FE0D 0005000E 0005FB00 0005FB00 0005FB00 +@00005E48 0005020E 00054508 0005050E 00050F0E 00057C0E 00051D0E 0005900E +@00005E4F 0005060F 00056A0F 00057D0F 0005910F 00059C0F 0005A40F 00054113 +@00005E56 00054513 00057A0B 0005860B 00052813 0005AE0F 0005EE0D 0005F90D +@00005E5D 0005AB0B 0005FB00 0005C60C 0005DE0A 0005D604 0005B50F 0005B80F +@00005E64 00053713 00053C13 0005BB0F 0005BC0F 0005C10F 0005CE0F 00051814 +@00005E6B 0005EA0D 00052D13 00053213 00052112 00052413 0005FB00 0005E70F +@00005E72 0005EB0F 0005ED0F 00058C01 00058E01 00059101 00059D01 00058401 +@00005E79 00058601 0005FB00 0005F30F 0005FB00 0005F90F 00059208 00058D08 +@00005E80 0005C806 0005C406 0005BB06 0005DF06 0005CE06 0005FB00 0005F304 +@00005E87 00053208 00050910 00059413 00058D13 00058613 0005A913 0005B613 +@00005E8E 0005D413 00056E0B 00056F0B 00056313 00056A13 00057113 00057E13 +@00005E95 0005FF13 00051114 00050814 0005380D 0005330D 0005B30C 0005180D +@00005E9C 0005A908 00050010 00055C01 00056901 00057801 00057E01 0005150F +@00005EA3 0005130F 0005110F 00050C0F 0005D822 0005240F 0005220F 0005170F +@00005EAA 0005200F 0005FB00 0005FB00 0005FB00 00055608 00056208 0005FB00 +@00005EB1 0005FB00 00056F08 00057708 00056D08 00057508 00053707 0005DD0D +@00005EB8 00054907 00054E0F 0005110E 0005840E 0005ED0E 0005E90E 0005FE0E +@00005EBF 0005F70E 0005B50D 00058C0D 00058410 0005490D 0005A20D 00051310 +@00005EC6 0005FB00 0005BD0C 0005FB00 0005FB00 00054C0D 0005680D 00057B0D +@00005ECD 00055C0D 0005660D 0005790D 00058F10 00059510 00059110 00054610 +@00005ED4 0005E20F 0005F104 00058902 00051111 00050F28 0005DA27 0005F410 +@00005EDB 00050308 00051608 00051108 00051808 00058627 0005FB00 00051D08 +@00005EE2 00057D27 00058508 00058008 00058302 00051A11 00052A03 00055004 +@00005EE9 00051B0C 0005220C 00053421 0005AE0C 00054B0F 0005630F 00057902 +@00005EF0 0005B124 00056122 00059B13 0005A213 0005FB00 00055010 0005FB00 +@00005EF7 00052110 00052410 00052710 00052A10 00052D10 00053C10 00053010 +@00005EFE 00053E10 00053211 0005F10F 0005580D 0005640D 0005770D 0005550D +@00005F05 00050D0E 00057A0E 0005E70E 0005F50E 00057C12 00058C12 00058F12 +@00005F0C 00055813 00054C03 00055703 00052011 0005A604 00057722 00058E22 +@00005F13 0005A222 00058112 00058412 00058712 00051F13 00052E0F 0005260F +@00005F1A 00052C0F 0005D204 00052311 00052E11 00054507 0005460F 00055D0F +@00005F21 0005EF0F 0005AA0A 0005AC0A 0005AF0A 0005B30A 0005B20A 00054913 +@00005F28 00054C13 00055213 00051A16 0005CE19 0005111A 00053C07 0005310F +@00005F2F 0005B622 00056822 00058603 00054404 00054A04 00051903 0005241D +@00005F36 0005BC23 0005C022 0005B90A 0005101C 00058803 0005A000 00055C21 +@00005F3D 0005AD22 00057F14 00052608 0005501C 0005BD1C 0005E51C 0005E51D +@00005F44 0005171F 00059B1B 00057F1E 0005391F 0005931F 00056B25 00058F25 +@00005F4B 0005AE25 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 +@00005F52 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 +@00005F59 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 +@00005F60 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 +@00005F67 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 +@00005F6E 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 +@00005F75 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 +@00005F7C 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 +@00005F83 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 +@00005F8A 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 +@00005F91 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 +@00005F98 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 +@00005F9F 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 +@00005FA6 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 +@00005FAD 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 +@00005FB4 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 +@00005FBB 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 +@00005FC2 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 +@00005FC9 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 +@00005FD0 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 +@00005FD7 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 +@00005FDE 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 +@00005FE5 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 +@00005FEC 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 +@00005FF3 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 +@00005FFA 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 0005FB00 Index: vga_display.v ================================================================== --- vga_display.v +++ vga_display.v @@ -2,15 +2,25 @@ `timescale 1ns/1ps `default_nettype none module vga_display(/*AUTOARG*/ - // Outputs - vram_addr, vram_req, vga_r, vga_b, vga_g, vga_hsync, vga_vsync, - vga_blank, - // Inputs - vga_clk, reset, vram_data, vram_ready + // system + input wire vga_clk, + input wire reset, + // videoram + output [14:0] vram_addr, + input [31:0] vram_data, + output wire vram_req, + input wire vram_ready, + // VGA outputs + output wire vga_r, + output wire vga_b, + output wire vga_g, + output wire vga_hsync, + output wire vga_vsync, + output wire vga_blank ); parameter H_DISP = 1280; parameter H_FPORCH = 16; parameter H_SYNC = 100; @@ -22,25 +32,10 @@ parameter V_BPORCH = 38; parameter BOX_WIDTH = 768; parameter BOX_HEIGHT = 896; - input vga_clk; - input reset; - - output [14:0] vram_addr; - input [31:0] vram_data; - input vram_ready; - output vram_req; - - output vga_r; - output vga_b; - output vga_g; - output vga_hsync; - output vga_vsync; - output vga_blank; - //////////////////////////////////////////////////////////////////////////////// localparam H_COUNTER_MAX = (H_DISP + H_FPORCH + H_SYNC + H_BPORCH); localparam V_COUNTER_MAX = (V_DISP + V_FPORCH + V_SYNC + V_BPORCH); @@ -106,25 +101,25 @@ /*AUTORESET*/ // Beginning of autoreset for uninitialized flops h_counter <= 11'h0; // End of automatics end else if (h_counter >= H_COUNTER_MAX) - h_counter <= 0; + h_counter <= 11'b0; else - h_counter <= h_counter + 1; + h_counter <= h_counter + 11'b1; always @(posedge vga_clk or posedge reset) if (reset) begin /*AUTORESET*/ // Beginning of autoreset for uninitialized flops v_counter <= 11'h0; // End of automatics end else if (vclk) begin if (v_counter >= V_COUNTER_MAX) - v_counter <= 0; + v_counter <= 11'b0; else - v_counter <= v_counter + 1; + v_counter <= v_counter + 11'b1; end //////////////////////////////////////////////////////////////////////////////// // Horizontal and vertical position @@ -134,15 +129,15 @@ // Beginning of autoreset for uninitialized flops h_pos <= 11'h0; // End of automatics end else if (h_in_box) begin if (h_pos >= BOX_WIDTH) - h_pos <= 0; + h_pos <= 11'b0; else - h_pos <= h_pos + 1; + h_pos <= h_pos + 11'b1; end else - h_pos <= 0; + h_pos <= 11'b0; always @(posedge vga_clk or posedge reset) if (reset) begin /*AUTORESET*/ // Beginning of autoreset for uninitialized flops @@ -149,15 +144,15 @@ v_pos <= 11'h0; // End of automatics end else if (vclk) begin if (v_in_box) begin if (v_pos >= BOX_HEIGHT - 1) - v_pos <= 0; + v_pos <= 11'b0; else - v_pos <= v_pos + 1; + v_pos <= v_pos + 11'b1; end else - v_pos <= 0; + v_pos <= 11'b0; end //////////////////////////////////////////////////////////////////////////////// // Grab data from VRAM @@ -221,11 +216,11 @@ // End of automatics end else begin if (~v_in_box) v_addr <= 0; else if (v_addr_inc) - v_addr <= v_addr + 1; + v_addr <= v_addr + 15'b1; end wire preload, preload1, preload2; // Increment once before visable, don't increment after last load. Index: xbus_io.v ================================================================== --- xbus_io.v +++ xbus_io.v @@ -5,11 +5,11 @@ `include ".version" module xbus_io(/*AUTOARG*/ // Outputs - dataout, ack, decode, interrupt, vector, + dataout, ack, decode, interrupt, vector, o_audio, // Inputs clk, reset, addr, datain, req, write, ms_ready, ms_x, ms_y, ms_button, kb_ready, kb_data ); @@ -32,11 +32,11 @@ input kb_ready; input [15:0] kb_data; output [7:0] vector; - + output [15:0] o_audio; //////////////////////////////////////////////////////////////////////////////// reg [3:0] iob_csr; reg [3:0] iob_rdy; @@ -47,10 +47,13 @@ reg [11:0] mouse_y; reg [1:0] mouse_rawy; reg mouse_tail; reg mouse_middle; reg mouse_head; + // is this correct???? + reg [15:0] audio_level; + assign o_audio = audio_level; reg hz60_enabled; /*AUTOWIRE*/ // Beginning of automatic wires (for undeclared instantiated-module outputs) @@ -88,10 +91,14 @@ begin case (addr) 22'o17772045: begin iob_csr <= datain[3:0]; end + 22'o17772044: begin + // TODO implement click audio correctly: this may be completely wrong + audio_level <= datain[15:0]; + end endcase end endtask task bus_read; @@ -119,10 +126,11 @@ dataout = { 16'b0, mouse_rawy, mouse_rawx, mouse_x }; end 22'o17772044: begin + // click audio does nothing useful on read end 22'o17772045: dataout = { 24'b0, iob_rdy, iob_csr }; 22'o17772050: begin dataout = { 16'b0, us_clk[15:0] };